diff --git a/audio.sch b/audio.sch index 552981d..612248f 100644 --- a/audio.sch +++ b/audio.sch @@ -96,10 +96,10 @@ ADATA Text Label 4250 1900 0 60 ~ 0 ACLK $Comp -L CP_Small C802 +L CP_Small C803 U 1 1 56CAE8FF P 4500 3050 -F 0 "C802" H 4588 3096 50 0000 L CNN +F 0 "C803" H 4588 3096 50 0000 L CNN F 1 "47u" H 4588 3004 50 0000 L CNN F 2 "Capacitors_SMD:c_elec_4x4.5" H 4500 3050 50 0001 C CNN F 3 "" H 4500 3050 50 0000 C CNN @@ -107,10 +107,10 @@ F 3 "" H 4500 3050 50 0000 C CNN 1 0 0 -1 $EndComp $Comp -L C_Small C801 +L C_Small C802 U 1 1 56CAE9B0 P 4200 3050 -F 0 "C801" H 4250 3100 50 0000 L CNN +F 0 "C802" H 4250 3100 50 0000 L CNN F 1 "100n" H 4200 3000 50 0000 L CNN F 2 "Capacitors_SMD:C_0603" H 4200 3050 50 0001 C CNN F 3 "" H 4200 3050 50 0000 C CNN @@ -118,10 +118,10 @@ F 3 "" H 4200 3050 50 0000 C CNN 1 0 0 -1 $EndComp $Comp -L GND #PWR802 +L GND #PWR804 U 1 1 56CAEAE3 P 4350 3250 -F 0 "#PWR802" H 4350 3000 50 0001 C CNN +F 0 "#PWR804" H 4350 3000 50 0001 C CNN F 1 "GND" H 4358 3076 50 0000 C CNN F 2 "" H 4350 3250 50 0000 C CNN F 3 "" H 4350 3250 50 0000 C CNN @@ -148,10 +148,10 @@ F 3 "" H 6050 2600 50 0000 C CNN 1 0 0 1 $EndComp $Comp -L GND #PWR805 +L GND #PWR807 U 1 1 56CB0D63 P 5950 3750 -F 0 "#PWR805" H 5950 3500 50 0001 C CNN +F 0 "#PWR807" H 5950 3500 50 0001 C CNN F 1 "GND" H 5958 3576 50 0000 C CNN F 2 "" H 5950 3750 50 0000 C CNN F 3 "" H 5950 3750 50 0000 C CNN @@ -159,10 +159,10 @@ F 3 "" H 5950 3750 50 0000 C CNN 1 0 0 -1 $EndComp $Comp -L C_Small C804 +L C_Small C805 U 1 1 56CB12BD P 6600 3300 -F 0 "C804" H 6650 3350 50 0000 L CNN +F 0 "C805" H 6650 3350 50 0000 L CNN F 1 "10u" H 6600 3250 50 0000 L CNN F 2 "Capacitors_SMD:C_0805" H 6600 3300 50 0001 C CNN F 3 "" H 6600 3300 50 0000 C CNN @@ -170,10 +170,10 @@ F 3 "" H 6600 3300 50 0000 C CNN 0 1 1 0 $EndComp $Comp -L C_Small C803 +L C_Small C804 U 1 1 56CB13B6 P 6600 2600 -F 0 "C803" H 6650 2650 50 0000 L CNN +F 0 "C804" H 6650 2650 50 0000 L CNN F 1 "10u" H 6600 2550 50 0000 L CNN F 2 "Capacitors_SMD:C_0805" H 6600 2600 50 0001 C CNN F 3 "" H 6600 2600 50 0000 C CNN @@ -203,10 +203,10 @@ F 3 "" H 6750 3150 50 0000 C CNN 1 0 0 -1 $EndComp $Comp -L GND #PWR807 +L GND #PWR809 U 1 1 56CB17E2 P 6550 3000 -F 0 "#PWR807" H 6550 2750 50 0001 C CNN +F 0 "#PWR809" H 6550 2750 50 0001 C CNN F 1 "GND" H 6558 2826 50 0000 C CNN F 2 "" H 6550 3000 50 0000 C CNN F 3 "" H 6550 3000 50 0000 C CNN @@ -225,10 +225,10 @@ F 3 "" H 7950 2750 50 0000 C CNN -1 0 0 1 $EndComp $Comp -L GND #PWR808 +L GND #PWR810 U 1 1 56CB19A0 P 7300 3600 -F 0 "#PWR808" H 7300 3350 50 0001 C CNN +F 0 "#PWR810" H 7300 3350 50 0001 C CNN F 1 "GND" H 7308 3426 50 0000 C CNN F 2 "" H 7300 3600 50 0000 C CNN F 3 "" H 7300 3600 50 0000 C CNN @@ -236,10 +236,10 @@ F 3 "" H 7300 3600 50 0000 C CNN 1 0 0 -1 $EndComp $Comp -L GND #PWR803 +L GND #PWR805 U 1 1 56CB318B P 4500 4250 -F 0 "#PWR803" H 4500 4000 50 0001 C CNN +F 0 "#PWR805" H 4500 4000 50 0001 C CNN F 1 "GND" H 4508 4076 50 0000 C CNN F 2 "" H 4500 4250 50 0000 C CNN F 3 "" H 4500 4250 50 0000 C CNN @@ -278,16 +278,53 @@ AOUTR Text Label 4200 2900 0 60 ~ 0 DACVREF $Comp -L VSTM33 #PWR806 +L VSTM33 #PWR808 U 1 1 56CB0E9B P 6150 2950 -F 0 "#PWR806" H 6150 2800 50 0001 C CNN +F 0 "#PWR808" H 6150 2800 50 0001 C CNN F 1 "VSTM33" H 6168 3124 50 0000 C CNN F 2 "" H 6150 2950 50 0000 C CNN F 3 "" H 6150 2950 50 0000 C CNN 1 6150 2950 1 0 0 -1 $EndComp +$Comp +L R R801 +U 1 1 56CC26E6 +P 4100 2350 +F 0 "R801" V 4200 2450 50 0000 C CNN +F 1 "0" V 4100 2350 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" V 4030 2350 50 0001 C CNN +F 3 "" H 4100 2350 50 0000 C CNN + 1 4100 2350 + 0 1 1 0 +$EndComp +$Comp +L LM358N U801 +U 2 1 56CB0820 +P 6050 3300 +F 0 "U801" H 6100 3450 50 0000 C CNN +F 1 "LM358N" H 6150 3150 50 0000 C CNN +F 2 "Housings_SOIC:SOIC-8_3.9x4.9mm_Pitch1.27mm" H 6050 3300 50 0001 C CNN +F 3 "" H 6050 3300 50 0000 C CNN + 2 6050 3300 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR806 +U 1 1 56CC3803 +P 5600 2300 +F 0 "#PWR806" H 5600 2050 50 0001 C CNN +F 1 "GND" H 5608 2126 50 0000 C CNN +F 2 "" H 5600 2300 50 0000 C CNN +F 3 "" H 5600 2300 50 0000 C CNN + 1 5600 2300 + 1 0 0 -1 +$EndComp +Text Label 6050 3650 0 60 ~ 0 +FBR +Text Label 6050 2250 0 60 ~ 0 +FBL Wire Wire Line 4550 1900 4200 1900 Wire Wire Line @@ -383,32 +420,10 @@ Wire Wire Line 4550 4000 4000 4000 Wire Wire Line 4550 3900 4050 3900 -$Comp -L R R801 -U 1 1 56CC26E6 -P 4100 2350 -F 0 "R801" V 4200 2450 50 0000 C CNN -F 1 "0" V 4100 2350 50 0000 C CNN -F 2 "Resistors_SMD:R_0603" V 4030 2350 50 0001 C CNN -F 3 "" H 4100 2350 50 0000 C CNN - 1 4100 2350 - 0 1 1 0 -$EndComp Wire Wire Line 3900 2400 3900 2350 Wire Wire Line 3900 2350 3950 2350 -$Comp -L LM358N U801 -U 2 1 56CB0820 -P 6050 3300 -F 0 "U801" H 6100 3450 50 0000 C CNN -F 1 "LM358N" H 6150 3150 50 0000 C CNN -F 2 "Housings_SOIC:SOIC-8_3.9x4.9mm_Pitch1.27mm" H 6050 3300 50 0001 C CNN -F 3 "" H 6050 3300 50 0000 C CNN - 2 6050 3300 - 1 0 0 -1 -$EndComp Wire Wire Line 5950 2900 5950 3000 Wire Wire Line @@ -416,21 +431,43 @@ Wire Wire Line Wire Wire Line 6150 2950 5950 2950 Connection ~ 5950 2950 +Wire Wire Line + 5600 2300 5950 2300 $Comp -L GND #PWR804 -U 1 1 56CC3803 -P 5600 2300 -F 0 "#PWR804" H 5600 2050 50 0001 C CNN -F 1 "GND" H 5608 2126 50 0000 C CNN -F 2 "" H 5600 2300 50 0000 C CNN -F 3 "" H 5600 2300 50 0000 C CNN - 1 5600 2300 +L C_Small C801 +U 1 1 56D947A0 +P 3900 3050 +F 0 "C801" H 3950 3100 50 0000 L CNN +F 1 "100n" H 3950 3000 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 3900 3050 50 0001 C CNN +F 3 "" H 3900 3050 50 0000 C CNN + 1 3900 3050 1 0 0 -1 $EndComp Wire Wire Line - 5600 2300 5950 2300 -Text Label 6050 3650 0 60 ~ 0 -FBR -Text Label 6050 2250 0 60 ~ 0 -FBL + 3900 2950 3900 2850 +Wire Wire Line + 3900 3150 3900 3250 +$Comp +L GND #PWR803 +U 1 1 56D95C5D +P 3900 3250 +F 0 "#PWR803" H 3900 3000 50 0001 C CNN +F 1 "GND" H 3908 3076 50 0000 C CNN +F 2 "" H 3900 3250 50 0000 C CNN +F 3 "" H 3900 3250 50 0000 C CNN + 1 3900 3250 + 1 0 0 -1 +$EndComp +$Comp +L VSTM33 #PWR802 +U 1 1 56D95CF4 +P 3900 2850 +F 0 "#PWR802" H 3900 2700 50 0001 C CNN +F 1 "VSTM33" H 3918 3024 50 0000 C CNN +F 2 "" H 3900 2850 50 0000 C CNN +F 3 "" H 3900 2850 50 0000 C CNN + 1 3900 2850 + 1 0 0 -1 +$EndComp $EndSCHEMATC diff --git a/fpgna.kicad_pcb b/fpgna.kicad_pcb index cec285e..d990d25 100644 --- a/fpgna.kicad_pcb +++ b/fpgna.kicad_pcb @@ -2,11 +2,11 @@ (general (links 482) - (no_connects 482) - (area 0 0 0 0) + (no_connects 394) + (area 52.199999 49.949999 202.300001 113.050001) (thickness 1.6) - (drawings 0) - (tracks 0) + (drawings 4) + (tracks 490) (zones 0) (modules 129) (nets 209) @@ -33,22 +33,26 @@ (46 B.CrtYd user) (47 F.CrtYd user) (48 B.Fab user) - (49 F.Fab user) + (49 F.Fab user hide) ) (setup - (last_trace_width 0.25) - (trace_clearance 0.2) + (last_trace_width 0.1524) + (user_trace_width 0.1524) + (user_trace_width 0.2) + (trace_clearance 0.153) (zone_clearance 0.508) (zone_45_only no) - (trace_min 0.2) + (trace_min 0.1524) (segment_width 0.2) (edge_width 0.1) - (via_size 0.6) - (via_drill 0.4) - (via_min_size 0.4) - (via_min_drill 0.3) - (uvia_size 0.3) + (via_size 0.6096) + (via_drill 0.3048) + (via_min_size 0.6096) + (via_min_drill 0.3048) + (user_via 0.6096 0.3048) + (user_via 0.8 0.4) + (uvia_size 0.3048) (uvia_drill 0.1) (uvias_allowed no) (uvia_min_size 0.2) @@ -97,214 +101,214 @@ (net 5 /powersupply/VCAP2) (net 6 VFPGA33) (net 7 +1V2) - (net 8 "Net-(C229-Pad1)") - (net 9 VUSB) - (net 10 /debug/OSCIN) - (net 11 /debug/OSCOUT) - (net 12 /audio/DACVREF) - (net 13 /audio/AOUTL) - (net 14 /audio/FBL) - (net 15 /audio/AOUTR) - (net 16 /audio/FBR) - (net 17 /FSMC/SDIO_CMD) - (net 18 /sdcard/SDIO_D3) - (net 19 /sdcard/SDIO_D2) - (net 20 /sdcard/SDIO_CLK) - (net 21 /sdcard/SDIO_D0) - (net 22 /sdcard/SDIO_D1) - (net 23 /sdcard/SDCARD_DETECT) - (net 24 "Net-(D201-Pad1)") - (net 25 /powersupply/SYS_VOLTAGE) - (net 26 "Net-(D901-Pad2)") - (net 27 "Net-(D901-Pad4)") - (net 28 "Net-(D901-Pad3)") - (net 29 "Net-(F201-Pad1)") - (net 30 "Net-(F201-Pad2)") - (net 31 VBAT) - (net 32 "Net-(F202-Pad2)") - (net 33 "Net-(P201-Pad2)") - (net 34 "Net-(P201-Pad3)") - (net 35 "Net-(P201-Pad4)") - (net 36 "Net-(P201-Pad6)") - (net 37 /powersupply/THERM) - (net 38 /debug/NRST) - (net 39 /debug/BOOT0) - (net 40 /FSMC/BOOT1) - (net 41 /debug/FTCK) - (net 42 /debug/FTMS) - (net 43 /debug/FTDI) - (net 44 /debug/FTDO) - (net 45 "Net-(P302-Pad8)") - (net 46 "Net-(P302-Pad9)") - (net 47 "Net-(P302-Pad10)") - (net 48 /debug/JTCK) - (net 49 /debug/JTMS) - (net 50 /debug/JTDI) - (net 51 /FSMC/JTDO) - (net 52 /FSMC/JRST_N) - (net 53 /powersupply/FPGA_ENABLE_N) - (net 54 "Net-(Q202-Pad1)") - (net 55 /FSMC/BACKLIGHT_EN_N) - (net 56 /powersupply/CHARGE_ENABLE) - (net 57 /powersupply/PROG_SWITCHED) - (net 58 "Net-(R203-Pad1)") - (net 59 /powersupply/TOE) - (net 60 /powersupply/CFG0) - (net 61 /powersupply/CHG_ACTIVE_N) - (net 62 /powersupply/USB_PRESENT_N) - (net 63 /powersupply/PROG) - (net 64 "Net-(R801-Pad1)") - (net 65 /userif/RGBLED0) - (net 66 /userif/RGBLED1) - (net 67 /userif/RGBLED2) - (net 68 /userif/SW_LEFT) - (net 69 /userif/SW_UP) - (net 70 /userif/SW_DOWN) - (net 71 /userif/SW_RIGHT) - (net 72 /sdcard/SW_B) - (net 73 /sdcard/SW_A) - (net 74 /sdcard/SW_C) - (net 75 /sdcard/SW_D) - (net 76 /sdram/DQ0) - (net 77 /sdram/DQ1) - (net 78 /sdram/DQ2) - (net 79 /sdram/DQ3) - (net 80 /sdram/DQ4) - (net 81 /sdram/DQ5) - (net 82 /sdram/DQ6) - (net 83 /sdram/DQ7) - (net 84 /sdram/DQML) - (net 85 /sdram/WE) - (net 86 /sdram/CAS) - (net 87 /sdram/RAS) - (net 88 /sdram/CS) - (net 89 /sdram/BA0) - (net 90 /sdram/BA1) - (net 91 /sdram/A10) - (net 92 /sdram/A0) - (net 93 /sdram/A1) - (net 94 /sdram/A2) - (net 95 /sdram/A3) - (net 96 /sdram/A4) - (net 97 /sdram/A5) - (net 98 /sdram/A6) - (net 99 /sdram/A7) - (net 100 /sdram/A8) - (net 101 /sdram/A9) - (net 102 /sdram/A11) - (net 103 "Net-(U201-Pad36)") - (net 104 /sdram/CKE) - (net 105 /sdram/CLK) - (net 106 /sdram/DQMH) - (net 107 "Net-(U201-Pad40)") - (net 108 /sdram/DQ8) - (net 109 /sdram/DQ9) - (net 110 /sdram/DQ10) - (net 111 /sdram/DQ11) - (net 112 /sdram/DQ12) - (net 113 /sdram/DQ13) - (net 114 /sdram/DQ14) - (net 115 /sdram/DQ15) - (net 116 /FSMC/A23) - (net 117 /FSMC/A19) - (net 118 /FSMC/A20) - (net 119 /FSMC/A21) - (net 120 /FSMC/A22) - (net 121 "Net-(U202-Pad7)") - (net 122 "Net-(U202-Pad8)") - (net 123 "Net-(U202-Pad9)") - (net 124 /sdcard/OSC_EN) - (net 125 "Net-(U202-Pad34)") - (net 126 "Net-(U202-Pad35)") - (net 127 "Net-(U202-Pad36)") - (net 128 /FSMC/DA4) - (net 129 /FSMC/DA5) - (net 130 /FSMC/DA6) - (net 131 /FSMC/DA7) - (net 132 /FSMC/DA8) - (net 133 /FSMC/DA9) - (net 134 /FSMC/DA10) - (net 135 /FSMC/DA11) - (net 136 /FSMC/DA12) - (net 137 "Net-(U202-Pad47)") - (net 138 "Net-(U202-Pad48)") - (net 139 /FSMC/T_CS) - (net 140 /FSMC/T_CLK) - (net 141 /FSMC/T_DOUT) - (net 142 /FSMC/T_DIN) - (net 143 /FSMC/DA13) - (net 144 /FSMC/DA14) - (net 145 /FSMC/DA15) - (net 146 /FSMC/A16) - (net 147 /FSMC/A17) - (net 148 /FSMC/A18) - (net 149 /FSMC/DA0) - (net 150 /FSMC/DA1) - (net 151 /display/T_BUSY) - (net 152 /display/T_IRQ) - (net 153 /FSMC/DA2) - (net 154 /FSMC/DA3) - (net 155 /FSMC/CLK) - (net 156 /FSMC/OE_N) - (net 157 /FSMC/WE_N) - (net 158 /FSMC/WAIT_N) - (net 159 /FSMC/E1_N) - (net 160 "Net-(U202-Pad91)") - (net 161 "Net-(U202-Pad92)") - (net 162 /FSMC/ADV_N) - (net 163 "Net-(U202-Pad95)") - (net 164 "Net-(U202-Pad96)") - (net 165 /FSMC/BL0_N) - (net 166 /FSMC/BL1_N) - (net 167 /audio/L3MODE) - (net 168 /audio/ADATA) - (net 169 /audio/L3DATA) - (net 170 /audio/ACLK) - (net 171 /sdram/CLK_50M) - (net 172 /audio/AWS) - (net 173 /audio/ABCK) - (net 174 "Net-(U205-Pad73)") - (net 175 /display/DB0) - (net 176 /display/DB1) - (net 177 /display/DB2) - (net 178 /display/RS) - (net 179 /display/DB3) - (net 180 /display/WR) - (net 181 /display/DB4) - (net 182 /display/RD) - (net 183 /display/DB5) - (net 184 /display/DB8) - (net 185 /display/DB6) - (net 186 /display/DB9) - (net 187 /display/DB7) - (net 188 /display/DB10) - (net 189 /display/DB11) - (net 190 /display/DB12) - (net 191 /display/DB13) - (net 192 /display/DB14) - (net 193 /display/DB15) - (net 194 /display/CS) - (net 195 /display/RST) - (net 196 "Net-(U206-Pad5)") - (net 197 "Net-(U206-Pad30)") - (net 198 "Net-(U206-Pad31)") - (net 199 "Net-(U206-Pad32)") - (net 200 "Net-(U206-Pad34)") - (net 201 "Net-(U206-Pad35)") - (net 202 "Net-(U206-Pad36)") - (net 203 "Net-(U206-Pad38)") - (net 204 "Net-(U206-Pad39)") - (net 205 "Net-(U206-Pad40)") - (net 206 /audio/DACL) - (net 207 /audio/DACR) - (net 208 "Net-(U208-Pad4)") + (net 8 VUSB) + (net 9 /debug/OSCIN) + (net 10 /debug/OSCOUT) + (net 11 /audio/DACVREF) + (net 12 /audio/AOUTL) + (net 13 /audio/FBL) + (net 14 /audio/AOUTR) + (net 15 /audio/FBR) + (net 16 /FSMC/SDIO_CMD) + (net 17 /sdcard/SDIO_D3) + (net 18 /sdcard/SDIO_D2) + (net 19 /sdcard/SDIO_CLK) + (net 20 /sdcard/SDIO_D0) + (net 21 /sdcard/SDIO_D1) + (net 22 /sdcard/SDCARD_DETECT) + (net 23 /powersupply/SYS_VOLTAGE) + (net 24 "Net-(D901-Pad2)") + (net 25 "Net-(D901-Pad4)") + (net 26 "Net-(D901-Pad3)") + (net 27 "Net-(F201-Pad1)") + (net 28 "Net-(F201-Pad2)") + (net 29 VBAT) + (net 30 "Net-(P201-Pad4)") + (net 31 "Net-(P201-Pad6)") + (net 32 /powersupply/THERM) + (net 33 /debug/NRST) + (net 34 /debug/BOOT0) + (net 35 /FSMC/BOOT1) + (net 36 /debug/FTCK) + (net 37 /debug/FTMS) + (net 38 /debug/FTDI) + (net 39 /debug/FTDO) + (net 40 "Net-(P302-Pad8)") + (net 41 "Net-(P302-Pad9)") + (net 42 "Net-(P302-Pad10)") + (net 43 /debug/JTCK) + (net 44 /debug/JTMS) + (net 45 /debug/JTDI) + (net 46 /FSMC/JTDO) + (net 47 /FSMC/JRST_N) + (net 48 /powersupply/FPGA_ENABLE_N) + (net 49 "Net-(Q202-Pad1)") + (net 50 /FSMC/BACKLIGHT_EN_N) + (net 51 /powersupply/CHARGE_ENABLE) + (net 52 /powersupply/PROG_SWITCHED) + (net 53 "Net-(R203-Pad1)") + (net 54 /powersupply/TOE) + (net 55 /powersupply/CFG0) + (net 56 /powersupply/CHG_ACTIVE_N) + (net 57 /powersupply/USB_PRESENT_N) + (net 58 /powersupply/PROG) + (net 59 "Net-(R801-Pad1)") + (net 60 /userif/RGBLED0) + (net 61 /userif/RGBLED1) + (net 62 /userif/RGBLED2) + (net 63 /userif/SW_LEFT) + (net 64 /userif/SW_UP) + (net 65 /userif/SW_DOWN) + (net 66 /userif/SW_RIGHT) + (net 67 /sdcard/SW_B) + (net 68 /sdcard/SW_A) + (net 69 /sdcard/SW_C) + (net 70 /sdcard/SW_D) + (net 71 /sdram/DQ0) + (net 72 /sdram/DQ1) + (net 73 /sdram/DQ2) + (net 74 /sdram/DQ3) + (net 75 /sdram/DQ4) + (net 76 /sdram/DQ5) + (net 77 /sdram/DQ6) + (net 78 /sdram/DQ7) + (net 79 /sdram/DQML) + (net 80 /sdram/WE) + (net 81 /sdram/CAS) + (net 82 /sdram/RAS) + (net 83 /sdram/CS) + (net 84 /sdram/BA0) + (net 85 /sdram/BA1) + (net 86 /sdram/A10) + (net 87 /sdram/A0) + (net 88 /sdram/A1) + (net 89 /sdram/A2) + (net 90 /sdram/A3) + (net 91 /sdram/A4) + (net 92 /sdram/A5) + (net 93 /sdram/A6) + (net 94 /sdram/A7) + (net 95 /sdram/A8) + (net 96 /sdram/A9) + (net 97 /sdram/A11) + (net 98 "Net-(U201-Pad36)") + (net 99 /sdram/CKE) + (net 100 /sdram/CLK) + (net 101 /sdram/DQMH) + (net 102 "Net-(U201-Pad40)") + (net 103 /sdram/DQ8) + (net 104 /sdram/DQ9) + (net 105 /sdram/DQ10) + (net 106 /sdram/DQ11) + (net 107 /sdram/DQ12) + (net 108 /sdram/DQ13) + (net 109 /sdram/DQ14) + (net 110 /sdram/DQ15) + (net 111 /FSMC/A23) + (net 112 /FSMC/A19) + (net 113 /FSMC/A20) + (net 114 /FSMC/A21) + (net 115 /FSMC/A22) + (net 116 "Net-(U202-Pad7)") + (net 117 "Net-(U202-Pad8)") + (net 118 "Net-(U202-Pad9)") + (net 119 /sdcard/OSC_EN) + (net 120 "Net-(U202-Pad34)") + (net 121 "Net-(U202-Pad35)") + (net 122 "Net-(U202-Pad36)") + (net 123 /FSMC/DA4) + (net 124 /FSMC/DA5) + (net 125 /FSMC/DA6) + (net 126 /FSMC/DA7) + (net 127 /FSMC/DA8) + (net 128 /FSMC/DA9) + (net 129 /FSMC/DA10) + (net 130 /FSMC/DA11) + (net 131 /FSMC/DA12) + (net 132 "Net-(U202-Pad47)") + (net 133 "Net-(U202-Pad48)") + (net 134 /FSMC/T_CS) + (net 135 /FSMC/T_CLK) + (net 136 /FSMC/T_DOUT) + (net 137 /FSMC/T_DIN) + (net 138 /FSMC/DA13) + (net 139 /FSMC/DA14) + (net 140 /FSMC/DA15) + (net 141 /FSMC/A16) + (net 142 /FSMC/A17) + (net 143 /FSMC/A18) + (net 144 /FSMC/DA0) + (net 145 /FSMC/DA1) + (net 146 /display/T_BUSY) + (net 147 /display/T_IRQ) + (net 148 /FSMC/DA2) + (net 149 /FSMC/DA3) + (net 150 /FSMC/CLK) + (net 151 /FSMC/OE_N) + (net 152 /FSMC/WE_N) + (net 153 /FSMC/WAIT_N) + (net 154 /FSMC/E1_N) + (net 155 "Net-(U202-Pad91)") + (net 156 "Net-(U202-Pad92)") + (net 157 /FSMC/ADV_N) + (net 158 "Net-(U202-Pad95)") + (net 159 "Net-(U202-Pad96)") + (net 160 /FSMC/BL0_N) + (net 161 /FSMC/BL1_N) + (net 162 /audio/L3MODE) + (net 163 /audio/ADATA) + (net 164 /audio/L3DATA) + (net 165 /audio/ACLK) + (net 166 /sdram/CLK_50M) + (net 167 /audio/AWS) + (net 168 /audio/ABCK) + (net 169 "Net-(U205-Pad73)") + (net 170 /display/DB0) + (net 171 /display/DB1) + (net 172 /display/DB2) + (net 173 /display/RS) + (net 174 /display/DB3) + (net 175 /display/WR) + (net 176 /display/DB4) + (net 177 /display/RD) + (net 178 /display/DB5) + (net 179 /display/DB8) + (net 180 /display/DB6) + (net 181 /display/DB9) + (net 182 /display/DB7) + (net 183 /display/DB10) + (net 184 /display/DB11) + (net 185 /display/DB12) + (net 186 /display/DB13) + (net 187 /display/DB14) + (net 188 /display/DB15) + (net 189 /display/CS) + (net 190 /display/RST) + (net 191 "Net-(U206-Pad5)") + (net 192 "Net-(U206-Pad30)") + (net 193 "Net-(U206-Pad31)") + (net 194 "Net-(U206-Pad32)") + (net 195 "Net-(U206-Pad34)") + (net 196 "Net-(U206-Pad35)") + (net 197 "Net-(U206-Pad36)") + (net 198 "Net-(U206-Pad38)") + (net 199 "Net-(U206-Pad39)") + (net 200 "Net-(U206-Pad40)") + (net 201 /audio/DACL) + (net 202 /audio/DACR) + (net 203 "Net-(U208-Pad4)") + (net 204 /powersupply/LSout) + (net 205 /powersupply/SSout) + (net 206 /powersupply/D-) + (net 207 /powersupply/D+) + (net 208 /powersupply/B+) (net_class Default "This is the default net class." - (clearance 0.2) - (trace_width 0.25) - (via_dia 0.6) - (via_drill 0.4) - (uvia_dia 0.3) + (clearance 0.153) + (trace_width 0.1524) + (via_dia 0.6096) + (via_drill 0.3048) + (uvia_dia 0.3048) (uvia_drill 0.1) (add_net +1V2) (add_net /FSMC/A16) @@ -395,12 +399,17 @@ (add_net /display/T_BUSY) (add_net /display/T_IRQ) (add_net /display/WR) + (add_net /powersupply/B+) (add_net /powersupply/CFG0) (add_net /powersupply/CHARGE_ENABLE) (add_net /powersupply/CHG_ACTIVE_N) + (add_net /powersupply/D+) + (add_net /powersupply/D-) (add_net /powersupply/FPGA_ENABLE_N) + (add_net /powersupply/LSout) (add_net /powersupply/PROG) (add_net /powersupply/PROG_SWITCHED) + (add_net /powersupply/SSout) (add_net /powersupply/SYS_VOLTAGE) (add_net /powersupply/THERM) (add_net /powersupply/TOE) @@ -465,16 +474,11 @@ (add_net /userif/SW_RIGHT) (add_net /userif/SW_UP) (add_net GND) - (add_net "Net-(C229-Pad1)") - (add_net "Net-(D201-Pad1)") (add_net "Net-(D901-Pad2)") (add_net "Net-(D901-Pad3)") (add_net "Net-(D901-Pad4)") (add_net "Net-(F201-Pad1)") (add_net "Net-(F201-Pad2)") - (add_net "Net-(F202-Pad2)") - (add_net "Net-(P201-Pad2)") - (add_net "Net-(P201-Pad3)") (add_net "Net-(P201-Pad4)") (add_net "Net-(P201-Pad6)") (add_net "Net-(P302-Pad10)") @@ -517,7 +521,7 @@ ) (module Capacitors_SMD:c_elec_4x4.5 (layer F.Cu) (tedit 55725C01) (tstamp 56D850F1) - (at 138.388976 119.905622) + (at 189 75.5) (descr "SMT capacitor, aluminium electrolytic, 4x4.5") (path /563244F7/56C2EA4B) (attr smd) @@ -560,7 +564,7 @@ ) (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 5415D6EA) (tstamp 56D850FD) - (at 195.607546 72.910622) + (at 98.957546 -57.539378) (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0805") (path /563244F7/56C52C65) @@ -589,7 +593,7 @@ ) (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 5415D6EA) (tstamp 56D85109) - (at 185.507546 80.960622) + (at 88.857546 -49.489378) (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0805") (path /563244F7/56C5D114) @@ -618,15 +622,15 @@ ) (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 5415D6EA) (tstamp 56D85115) - (at 138.457546 127.280622) + (at 195 78 180) (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0805") (path /563244F7/56324973) (attr smd) - (fp_text reference C204 (at 0 -2.1) (layer F.SilkS) + (fp_text reference C204 (at -3.9 -0.1 180) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value 10u (at 0 2.1) (layer F.Fab) + (fp_text value 10u (at 0 2.1 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -1.8 -1) (end 1.8 -1) (layer F.CrtYd) (width 0.05)) @@ -635,9 +639,9 @@ (fp_line (start 1.8 -1) (end 1.8 1) (layer F.CrtYd) (width 0.05)) (fp_line (start 0.5 -0.85) (end -0.5 -0.85) (layer F.SilkS) (width 0.15)) (fp_line (start -0.5 0.85) (end 0.5 0.85) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -1 0) (size 1 1.25) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at -1 0 180) (size 1 1.25) (layers F.Cu F.Paste F.Mask) (net 1 VSYS)) - (pad 2 smd rect (at 1 0) (size 1 1.25) (layers F.Cu F.Paste F.Mask) + (pad 2 smd rect (at 1 0 180) (size 1 1.25) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (model Capacitors_SMD.3dshapes/C_0805.wrl (at (xyz 0 0 0)) @@ -647,7 +651,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85121) - (at 182.657546 92.960622) + (at 86.007546 -37.489378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56C50D95) @@ -676,7 +680,7 @@ ) (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 5415D6EA) (tstamp 56D8512D) - (at 191.207546 72.910622) + (at 94.557546 -57.539378) (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0805") (path /563244F7/56C5C319) @@ -705,7 +709,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85139) - (at 185.507546 87.060622) + (at 88.857546 -43.389378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56C5067D) @@ -734,7 +738,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85145) - (at 200.007546 72.710622) + (at 103.357546 -57.739378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56C50683) @@ -763,7 +767,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85151) - (at 173.207546 116.860622) + (at 76.557546 -13.589378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56C50689) @@ -792,7 +796,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D8515D) - (at 195.857546 96.310622) + (at 99.207546 -34.139378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56C5068F) @@ -821,7 +825,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85169) - (at 203.107546 88.420622) + (at 106.457546 -42.029378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56C786E8) @@ -850,7 +854,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85175) - (at 157.417546 121.950622) + (at 60.767546 -8.499378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56C50695) @@ -879,7 +883,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85181) - (at 166.167546 128.660622) + (at 69.517546 -1.789378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56C786EE) @@ -908,7 +912,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D8518D) - (at 170.617546 122.760622) + (at 73.967546 -7.689378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56C5069B) @@ -937,7 +941,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85199) - (at 191.457546 104.360622) + (at 94.807546 -26.089378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56C786F4) @@ -966,7 +970,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D851A5) - (at 187.057546 104.760622) + (at 90.407546 -25.689378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56C506A1) @@ -995,14 +999,14 @@ ) (module Capacitors_SMD:c_elec_4x4.5 (layer F.Cu) (tedit 55725C01) (tstamp 56D851C0) - (at 131.238976 119.905622) + (at 182 86 270) (descr "SMT capacitor, aluminium electrolytic, 4x4.5") (path /563244F7/56C2E260) (attr smd) - (fp_text reference C217 (at 0 -3.175) (layer F.SilkS) + (fp_text reference C217 (at 0 -3.175 270) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value 220u (at 0 3.175) (layer F.Fab) + (fp_text value 220u (at 0 3.175 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -3.35 2.65) (end 3.35 2.65) (layer F.CrtYd) (width 0.05)) @@ -1026,9 +1030,9 @@ (fp_line (start -1.143 -1.651) (end -1.143 1.651) (layer F.SilkS) (width 0.15)) (fp_line (start -2.286 -2.286) (end -2.286 2.286) (layer F.SilkS) (width 0.15)) (fp_circle (center 0 0) (end -2.032 0) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at 1.80086 0) (size 2.60096 1.6002) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at 1.80086 0 270) (size 2.60096 1.6002) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) - (pad 2 smd rect (at -1.80086 0) (size 2.60096 1.6002) (layers F.Cu F.Paste F.Mask) + (pad 2 smd rect (at -1.80086 0 270) (size 2.60096 1.6002) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (model Capacitors_SMD.3dshapes/c_elec_4x4.5.wrl (at (xyz 0 0 0)) @@ -1038,7 +1042,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D851CC) - (at 166.217546 122.760622) + (at 69.567546 -7.689378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56C78700) @@ -1067,7 +1071,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D851D8) - (at 198.707546 90.410622) + (at 102.057546 -40.039378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56C5BFA7) @@ -1096,7 +1100,7 @@ ) (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 5415D6EA) (tstamp 56D851E4) - (at 178.257546 91.670622) + (at 81.607546 -38.779378) (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0805") (path /563244F7/56C58841) @@ -1125,12 +1129,12 @@ ) (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 5415D6EA) (tstamp 56D851F0) - (at 160.007546 115.850622) + (at 136.2 105.3) (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0805") (path /563244F7/56325A6A) (attr smd) - (fp_text reference C221 (at 0 -2.1) (layer F.SilkS) + (fp_text reference C221 (at 3.7 0.1) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value 10u (at 0 2.1) (layer F.Fab) @@ -1154,7 +1158,7 @@ ) (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 5415D6EA) (tstamp 56D851FC) - (at 202.907546 64.620622) + (at 106.257546 -65.829378) (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0805") (path /563244F7/563274D8) @@ -1183,7 +1187,7 @@ ) (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 5415D6EA) (tstamp 56D85208) - (at 194.107546 66.610622) + (at 97.457546 -63.839378) (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0805") (path /563244F7/563274DE) @@ -1212,7 +1216,7 @@ ) (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 5415D6EA) (tstamp 56D85214) - (at 198.507546 66.610622) + (at 101.857546 -63.839378) (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0805") (path /563244F7/56326B84) @@ -1241,7 +1245,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85220) - (at 189.907546 86.660622) + (at 93.257546 -43.789378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/563274E4) @@ -1270,7 +1274,7 @@ ) (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 5415D6EA) (tstamp 56D8522C) - (at 148.617546 118.830622) + (at 165.967546 115.380622) (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0805") (path /563244F7/56326C38) @@ -1299,7 +1303,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85238) - (at 194.307546 79.010622) + (at 97.657546 -51.439378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/563274EA) @@ -1328,7 +1332,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85244) - (at 204.407546 70.720622) + (at 107.757546 -59.729378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56326CB4) @@ -1357,15 +1361,15 @@ ) (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 5415D6EA) (tstamp 56D85250) - (at 153.017546 118.830622) + (at 131.7 107.3 180) (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0805") (path /563244F7/56C62D98) (attr smd) - (fp_text reference C229 (at 0 -2.1) (layer F.SilkS) + (fp_text reference C229 (at 4 0 180) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value 10u (at 0 2.1) (layer F.Fab) + (fp_text value 10u (at 0 2.1 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -1.8 -1) (end 1.8 -1) (layer F.CrtYd) (width 0.05)) @@ -1374,9 +1378,9 @@ (fp_line (start 1.8 -1) (end 1.8 1) (layer F.CrtYd) (width 0.05)) (fp_line (start 0.5 -0.85) (end -0.5 -0.85) (layer F.SilkS) (width 0.15)) (fp_line (start -0.5 0.85) (end 0.5 0.85) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -1 0) (size 1 1.25) (layers F.Cu F.Paste F.Mask) - (net 8 "Net-(C229-Pad1)")) - (pad 2 smd rect (at 1 0) (size 1 1.25) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at -1 0 180) (size 1 1.25) (layers F.Cu F.Paste F.Mask) + (net 204 /powersupply/LSout)) + (pad 2 smd rect (at 1 0 180) (size 1 1.25) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (model Capacitors_SMD.3dshapes/C_0805.wrl (at (xyz 0 0 0)) @@ -1386,7 +1390,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D8525C) - (at 148.567546 124.930622) + (at 165.917546 121.480622) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/563274F0) @@ -1415,7 +1419,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85268) - (at 200.007546 78.610622) + (at 103.357546 -51.839378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56326D36) @@ -1444,15 +1448,15 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85274) - (at 142.857546 131.230622) + (at 131.7 105.3 180) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56325A82) (attr smd) - (fp_text reference C232 (at 0 -1.9) (layer F.SilkS) + (fp_text reference C232 (at 4 0 180) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value 100n (at 0 1.9) (layer F.Fab) + (fp_text value 100n (at 0 1.9 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -1.45 -0.75) (end 1.45 -0.75) (layer F.CrtYd) (width 0.05)) @@ -1461,9 +1465,9 @@ (fp_line (start 1.45 -0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.15)) (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) - (net 8 "Net-(C229-Pad1)")) - (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at -0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 204 /powersupply/LSout)) + (pad 2 smd rect (at 0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (model Capacitors_SMD.3dshapes/C_0603.wrl (at (xyz 0 0 0)) @@ -1473,7 +1477,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85280) - (at 173.307546 104.660622) + (at 76.657546 -25.789378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/563274F6) @@ -1502,7 +1506,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D8528C) - (at 168.857546 110.960622) + (at 72.207546 -19.489378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56326D8B) @@ -1531,7 +1535,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85298) - (at 147.257546 131.230622) + (at 164.607546 127.780622) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/563274FC) @@ -1560,7 +1564,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D852A4) - (at 178.257546 97.770622) + (at 81.607546 -32.679378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56326DDB) @@ -1589,7 +1593,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D852B0) - (at 189.907546 80.760622) + (at 93.257546 -49.689378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/563277BE) @@ -1618,7 +1622,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D852BC) - (at 164.407546 115.650622) + (at 67.757546 -14.799378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56326E2A) @@ -1647,7 +1651,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D852C8) - (at 194.307546 84.910622) + (at 97.657546 -45.539378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/5632782A) @@ -1676,15 +1680,15 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D852D4) - (at 152.967546 124.930622) + (at 149.5 66 90) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/563362A8) (attr smd) - (fp_text reference C240 (at 0 -1.9) (layer F.SilkS) + (fp_text reference C241 (at 0 -1.9 90) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value 100n (at 0 1.9) (layer F.Fab) + (fp_text value 100n (at 0 1.9 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -1.45 -0.75) (end 1.45 -0.75) (layer F.CrtYd) (width 0.05)) @@ -1693,9 +1697,9 @@ (fp_line (start 1.45 -0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.15)) (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) - (net 9 VUSB)) - (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at -0.75 0 90) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 8 VUSB)) + (pad 2 smd rect (at 0.75 0 90) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (model Capacitors_SMD.3dshapes/C_0603.wrl (at (xyz 0 0 0)) @@ -1705,12 +1709,12 @@ ) (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 5415D6EA) (tstamp 56D852E0) - (at 144.167546 125.130622) + (at 161.517546 121.680622) (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0805") (path /563244F7/56CA8FB9) (attr smd) - (fp_text reference C241 (at 0 -2.1) (layer F.SilkS) + (fp_text reference C242 (at 0 -2.1) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value 10u (at 0 2.1) (layer F.Fab) @@ -1734,40 +1738,11 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D852EC) - (at 187.057546 98.860622) + (at 90.407546 -31.589378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /563244F7/56CA8222) (attr smd) - (fp_text reference C242 (at 0 -1.9) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 100n (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -1.45 -0.75) (end 1.45 -0.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.45 0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.45 -0.75) (end -1.45 0.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.45 -0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.15)) - (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) - (net 6 VFPGA33)) - (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) - (net 2 GND)) - (model Capacitors_SMD.3dshapes/C_0603.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D852F8) - (at 161.767546 127.850622) - (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") - (tags "capacitor 0603") - (path /563244F7/56CA85F2) - (attr smd) (fp_text reference C243 (at 0 -1.9) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) @@ -1791,8 +1766,37 @@ ) ) + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D852F8) + (at 65.117546 -2.599378) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /563244F7/56CA85F2) + (attr smd) + (fp_text reference C244 (at 0 -1.9) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100n (at 0 1.9) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.45 -0.75) (end 1.45 -0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.45 0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.45 -0.75) (end -1.45 0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.45 -0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 6 VFPGA33)) + (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85304) - (at 88.547546 159.040622) + (at 105.897546 155.590622) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56337D98/56C717BF) @@ -1810,7 +1814,7 @@ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.15)) (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) - (net 10 /debug/OSCIN)) + (net 9 /debug/OSCIN)) (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (model Capacitors_SMD.3dshapes/C_0603.wrl @@ -1821,7 +1825,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85310) - (at 92.947546 159.040622) + (at 110.297546 155.590622) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56337D98/56C7180C) @@ -1839,7 +1843,7 @@ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.15)) (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) - (net 11 /debug/OSCOUT)) + (net 10 /debug/OSCOUT)) (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (model Capacitors_SMD.3dshapes/C_0603.wrl @@ -1850,7 +1854,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D8531C) - (at 79.747546 164.940622) + (at 97.097546 161.490622) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56337D98/56339277) @@ -1879,7 +1883,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85328) - (at 121.377546 166.830622) + (at 138.727546 163.380622) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56C43C85/56C67EC9) @@ -1908,7 +1912,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85334) - (at 125.777546 166.830622) + (at 143.127546 163.380622) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56C43C85/56C675D2) @@ -1937,7 +1941,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85340) - (at 130.177546 166.830622) + (at 147.75 163) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56C43C85/56C676A2) @@ -1966,7 +1970,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D8534C) - (at 226.707546 108.670622) + (at 130.057546 -21.779378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56C579FC/56C62CBE) @@ -1995,7 +1999,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85358) - (at 222.307546 108.670622) + (at 125.657546 -21.779378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56C579FC/56C62B5E) @@ -2024,7 +2028,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85364) - (at 217.907546 108.670622) + (at 121.257546 -21.779378) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56C579FC/56C62B65) @@ -2053,15 +2057,15 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85370) - (at 180.967546 146.540622) + (at 112.5 82 90) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56C6F777/56CA32A6) (attr smd) - (fp_text reference C601 (at 0 -1.9) (layer F.SilkS) + (fp_text reference C601 (at 3.25 0.25 90) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value 100n (at 0 1.9) (layer F.Fab) + (fp_text value 100n (at -3.25 -0.25 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -1.45 -0.75) (end 1.45 -0.75) (layer F.CrtYd) (width 0.05)) @@ -2070,9 +2074,9 @@ (fp_line (start 1.45 -0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.15)) (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at -0.75 0 90) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (pad 2 smd rect (at 0.75 0 90) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (model Capacitors_SMD.3dshapes/C_0603.wrl (at (xyz 0 0 0)) @@ -2081,27 +2085,27 @@ ) ) - (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D8537C) - (at 185.367546 140.640622) + (module Capacitors_SMD:C_0603 (layer B.Cu) (tedit 5415D631) (tstamp 56D8537C) + (at 116.5 86.5 180) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56C6F777/56C8051E) (attr smd) - (fp_text reference C602 (at 0 -1.9) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference C602 (at 0 1.9 180) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_text value 100n (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value 100n (at 0 -1.9 180) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_line (start -1.45 -0.75) (end 1.45 -0.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.45 0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.45 -0.75) (end -1.45 0.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.45 -0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.15)) - (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (fp_line (start -1.45 0.75) (end 1.45 0.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.45 -0.75) (end 1.45 -0.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.45 0.75) (end -1.45 -0.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.45 0.75) (end 1.45 -0.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.35 0.6) (end 0.35 0.6) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.35 -0.6) (end -0.35 -0.6) (layer B.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.75 0 180) (size 0.8 0.75) (layers B.Cu B.Paste B.Mask) (net 6 VFPGA33)) - (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (pad 2 smd rect (at 0.75 0 180) (size 0.8 0.75) (layers B.Cu B.Paste B.Mask) (net 2 GND)) (model Capacitors_SMD.3dshapes/C_0603.wrl (at (xyz 0 0 0)) @@ -2110,27 +2114,27 @@ ) ) - (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85388) - (at 180.967546 140.640622) + (module Capacitors_SMD:C_0603 (layer B.Cu) (tedit 5415D631) (tstamp 56D85388) + (at 122.75 81.5) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56C6F777/56C80517) (attr smd) - (fp_text reference C603 (at 0 -1.9) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference C603 (at 0 1.9) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_text value 100n (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value 100n (at 0 -1.9) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_line (start -1.45 -0.75) (end 1.45 -0.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.45 0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.45 -0.75) (end -1.45 0.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.45 -0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.15)) - (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (fp_line (start -1.45 0.75) (end 1.45 0.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.45 -0.75) (end 1.45 -0.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.45 0.75) (end -1.45 -0.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.45 0.75) (end 1.45 -0.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.35 0.6) (end 0.35 0.6) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.35 -0.6) (end -0.35 -0.6) (layer B.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers B.Cu B.Paste B.Mask) (net 6 VFPGA33)) - (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers B.Cu B.Paste B.Mask) (net 2 GND)) (model Capacitors_SMD.3dshapes/C_0603.wrl (at (xyz 0 0 0)) @@ -2140,7 +2144,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D85394) - (at 176.567546 146.540622) + (at 79.917546 16.090622) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56C6F777/56C80907) @@ -2169,7 +2173,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D853A0) - (at 169.977546 148.090622) + (at 73.327546 17.640622) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56C6F777/56C80798) @@ -2198,7 +2202,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D853AC) - (at 176.567546 140.640622) + (at 79.917546 10.190622) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56C6F777/56C80792) @@ -2227,7 +2231,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D853B8) - (at 165.577546 148.090622) + (at 68.927546 17.640622) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56C6F777/56C80AD2) @@ -2256,7 +2260,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D853C4) - (at 142.727546 140.640622) + (at 160.077546 137.190622) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56C7DD81/56C7E710) @@ -2285,7 +2289,7 @@ ) (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D853D0) - (at 138.327546 140.640622) + (at 155.677546 137.190622) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56C7DD81/56C7E737) @@ -2313,27 +2317,27 @@ ) ) - (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D853DC) - (at 237.457546 98.040622) + (module Capacitors_SMD:C_0603 (layer B.Cu) (tedit 5415D631) (tstamp 56D853DC) + (at 129.5 70.25 270) (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0603") (path /56CA5D53/56CAE9B0) (attr smd) - (fp_text reference C801 (at 0 -1.9) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference C802 (at 3.45 0 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_text value 100n (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value 100n (at 0 -1.9 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_line (start -1.45 -0.75) (end 1.45 -0.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.45 0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.45 -0.75) (end -1.45 0.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.45 -0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.15)) - (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) - (net 12 /audio/DACVREF)) - (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (fp_line (start -1.45 0.75) (end 1.45 0.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.45 -0.75) (end 1.45 -0.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.45 0.75) (end -1.45 -0.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.45 0.75) (end 1.45 -0.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.35 0.6) (end 0.35 0.6) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.35 -0.6) (end -0.35 -0.6) (layer B.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.75 0 270) (size 0.8 0.75) (layers B.Cu B.Paste B.Mask) + (net 11 /audio/DACVREF)) + (pad 2 smd rect (at 0.75 0 270) (size 0.8 0.75) (layers B.Cu B.Paste B.Mask) (net 2 GND)) (model Capacitors_SMD.3dshapes/C_0603.wrl (at (xyz 0 0 0)) @@ -2342,59 +2346,16 @@ ) ) - (module Capacitors_SMD:c_elec_4x4.5 (layer F.Cu) (tedit 55725C01) (tstamp 56D853F7) - (at 234.428976 87.515622) - (descr "SMT capacitor, aluminium electrolytic, 4x4.5") - (path /56CA5D53/56CAE8FF) - (attr smd) - (fp_text reference C802 (at 0 -3.175) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 47u (at 0 3.175) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -3.35 2.65) (end 3.35 2.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.35 -2.65) (end -3.35 -2.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.35 -2.65) (end -3.35 2.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.35 2.65) (end 3.35 -2.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.651 0) (end 0.889 0) (layer F.SilkS) (width 0.15)) - (fp_line (start 1.27 -0.381) (end 1.27 0.381) (layer F.SilkS) (width 0.15)) - (fp_line (start 1.524 2.286) (end -2.286 2.286) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.286 -1.524) (end 2.286 1.524) (layer F.SilkS) (width 0.15)) - (fp_line (start 1.524 2.286) (end 2.286 1.524) (layer F.SilkS) (width 0.15)) - (fp_line (start 1.524 -2.286) (end -2.286 -2.286) (layer F.SilkS) (width 0.15)) - (fp_line (start 1.524 -2.286) (end 2.286 -1.524) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.032 0.127) (end -2.032 -0.127) (layer F.SilkS) (width 0.15)) - (fp_line (start -1.905 -0.635) (end -1.905 0.635) (layer F.SilkS) (width 0.15)) - (fp_line (start -1.778 0.889) (end -1.778 -0.889) (layer F.SilkS) (width 0.15)) - (fp_line (start -1.651 1.143) (end -1.651 -1.143) (layer F.SilkS) (width 0.15)) - (fp_line (start -1.524 -1.27) (end -1.524 1.27) (layer F.SilkS) (width 0.15)) - (fp_line (start -1.397 1.397) (end -1.397 -1.397) (layer F.SilkS) (width 0.15)) - (fp_line (start -1.27 -1.524) (end -1.27 1.524) (layer F.SilkS) (width 0.15)) - (fp_line (start -1.143 -1.651) (end -1.143 1.651) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.286 -2.286) (end -2.286 2.286) (layer F.SilkS) (width 0.15)) - (fp_circle (center 0 0) (end -2.032 0) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at 1.80086 0) (size 2.60096 1.6002) (layers F.Cu F.Paste F.Mask) - (net 12 /audio/DACVREF)) - (pad 2 smd rect (at -1.80086 0) (size 2.60096 1.6002) (layers F.Cu F.Paste F.Mask) - (net 2 GND)) - (model Capacitors_SMD.3dshapes/c_elec_4x4.5.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 5415D6EA) (tstamp 56D85403) - (at 220.187546 96.740622) + (at 133.5 60.5 90) (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0805") (path /56CA5D53/56CB13B6) (attr smd) - (fp_text reference C803 (at 0 -2.1) (layer F.SilkS) + (fp_text reference C804 (at -4 -1 90) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value 10u (at 0 2.1) (layer F.Fab) + (fp_text value 10u (at 0 2.1 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -1.8 -1) (end 1.8 -1) (layer F.CrtYd) (width 0.05)) @@ -2403,10 +2364,10 @@ (fp_line (start 1.8 -1) (end 1.8 1) (layer F.CrtYd) (width 0.05)) (fp_line (start 0.5 -0.85) (end -0.5 -0.85) (layer F.SilkS) (width 0.15)) (fp_line (start -0.5 0.85) (end 0.5 0.85) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -1 0) (size 1 1.25) (layers F.Cu F.Paste F.Mask) - (net 13 /audio/AOUTL)) - (pad 2 smd rect (at 1 0) (size 1 1.25) (layers F.Cu F.Paste F.Mask) - (net 14 /audio/FBL)) + (pad 1 smd rect (at -1 0 90) (size 1 1.25) (layers F.Cu F.Paste F.Mask) + (net 12 /audio/AOUTL)) + (pad 2 smd rect (at 1 0 90) (size 1 1.25) (layers F.Cu F.Paste F.Mask) + (net 13 /audio/FBL)) (model Capacitors_SMD.3dshapes/C_0805.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2415,15 +2376,15 @@ ) (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 5415D6EA) (tstamp 56D8540F) - (at 233.057546 94.890622) + (at 132.5 57.5 180) (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)") (tags "capacitor 0805") (path /56CA5D53/56CB12BD) (attr smd) - (fp_text reference C804 (at 0 -2.1) (layer F.SilkS) + (fp_text reference C805 (at 3.5 0 180) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value 10u (at 0 2.1) (layer F.Fab) + (fp_text value 10u (at 0 2.1 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -1.8 -1) (end 1.8 -1) (layer F.CrtYd) (width 0.05)) @@ -2432,10 +2393,10 @@ (fp_line (start 1.8 -1) (end 1.8 1) (layer F.CrtYd) (width 0.05)) (fp_line (start 0.5 -0.85) (end -0.5 -0.85) (layer F.SilkS) (width 0.15)) (fp_line (start -0.5 0.85) (end 0.5 0.85) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -1 0) (size 1 1.25) (layers F.Cu F.Paste F.Mask) - (net 15 /audio/AOUTR)) - (pad 2 smd rect (at 1 0) (size 1 1.25) (layers F.Cu F.Paste F.Mask) - (net 16 /audio/FBR)) + (pad 1 smd rect (at -1 0 180) (size 1 1.25) (layers F.Cu F.Paste F.Mask) + (net 14 /audio/AOUTR)) + (pad 2 smd rect (at 1 0 180) (size 1 1.25) (layers F.Cu F.Paste F.Mask) + (net 15 /audio/FBR)) (model Capacitors_SMD.3dshapes/C_0805.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2443,63 +2404,63 @@ ) ) - (module smd:Micro_SD (layer F.Cu) (tedit 5670652A) (tstamp 56D8542A) - (at 119.623975 154.115622) + (module smd:Micro_SD (layer B.Cu) (tedit 5670652A) (tstamp 56D8542A) + (at 57.35 100.5) (path /56C7DD81/56C8C648) - (fp_text reference CON701 (at 6 -8) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference CON701 (at 6 7.35) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_text value Micro_SD (at 11 4) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value Micro_SD (at 10.15 0.85) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_line (start 13 0) (end 13 -1) (layer F.SilkS) (width 0.15)) - (fp_line (start 14.5 -14.7) (end 14.5 -1) (layer F.SilkS) (width 0.15)) - (fp_line (start 0.7 -14.7) (end 14.5 -14.7) (layer F.SilkS) (width 0.15)) - (fp_line (start 0 0) (end 0 -4) (layer F.SilkS) (width 0.15)) - (fp_line (start 0 -4) (end 0.7 -6) (layer F.SilkS) (width 0.15)) - (fp_line (start 0.7 -6) (end 0.7 -14.7) (layer F.SilkS) (width 0.15)) - (fp_line (start 13 0) (end 0 0) (layer F.SilkS) (width 0.15)) - (fp_line (start 13 -1) (end 14.5 -1) (layer F.SilkS) (width 0.15)) - (pad "" np_thru_hole circle (at 4.65 -12.35) (size 0.9 0.9) (drill 0.762) (layers *.Cu *.Mask F.SilkS)) - (pad "" np_thru_hole circle (at 4.65 -4.35) (size 0.9 0.9) (drill 0.762) (layers *.Cu *.Mask F.SilkS)) - (pad 3 smd rect (at 15.2 -7.4) (size 2.2 0.75) (layers F.Cu F.Paste F.Mask) - (net 17 /FSMC/SDIO_CMD)) - (pad 2 smd rect (at 15.2 -6.3) (size 2.2 0.75) (layers F.Cu F.Paste F.Mask) - (net 18 /sdcard/SDIO_D3)) - (pad 1 smd rect (at 15.2 -5.2) (size 2.2 0.75) (layers F.Cu F.Paste F.Mask) - (net 19 /sdcard/SDIO_D2)) - (pad 4 smd rect (at 15.2 -8.5) (size 2.2 0.75) (layers F.Cu F.Paste F.Mask) + (fp_line (start 13 0) (end 13 1) (layer B.SilkS) (width 0.15)) + (fp_line (start 14.5 14.7) (end 14.5 1) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.7 14.7) (end 14.5 14.7) (layer B.SilkS) (width 0.15)) + (fp_line (start 0 0) (end 0 4) (layer B.SilkS) (width 0.15)) + (fp_line (start 0 4) (end 0.7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.7 6) (end 0.7 14.7) (layer B.SilkS) (width 0.15)) + (fp_line (start 13 0) (end 0 0) (layer B.SilkS) (width 0.15)) + (fp_line (start 13 1) (end 14.5 1) (layer B.SilkS) (width 0.15)) + (pad "" np_thru_hole circle (at 4.65 12.35) (size 0.9 0.9) (drill 0.762) (layers *.Cu *.Mask B.SilkS)) + (pad "" np_thru_hole circle (at 4.65 4.35) (size 0.9 0.9) (drill 0.762) (layers *.Cu *.Mask B.SilkS)) + (pad 3 smd rect (at 15.2 7.4) (size 2.2 0.75) (layers B.Cu B.Paste B.Mask) + (net 16 /FSMC/SDIO_CMD)) + (pad 2 smd rect (at 15.2 6.3) (size 2.2 0.75) (layers B.Cu B.Paste B.Mask) + (net 17 /sdcard/SDIO_D3)) + (pad 1 smd rect (at 15.2 5.2) (size 2.2 0.75) (layers B.Cu B.Paste B.Mask) + (net 18 /sdcard/SDIO_D2)) + (pad 4 smd rect (at 15.2 8.5) (size 2.2 0.75) (layers B.Cu B.Paste B.Mask) (net 3 VSTM33)) - (pad 5 smd rect (at 15.2 -9.6) (size 2.2 0.75) (layers F.Cu F.Paste F.Mask) - (net 20 /sdcard/SDIO_CLK)) - (pad 6 smd rect (at 15.2 -10.7) (size 2.2 0.75) (layers F.Cu F.Paste F.Mask) + (pad 5 smd rect (at 15.2 9.6) (size 2.2 0.75) (layers B.Cu B.Paste B.Mask) + (net 19 /sdcard/SDIO_CLK)) + (pad 6 smd rect (at 15.2 10.7) (size 2.2 0.75) (layers B.Cu B.Paste B.Mask) (net 2 GND)) - (pad 7 smd rect (at 15.2 -11.8) (size 2.2 0.75) (layers F.Cu F.Paste F.Mask) - (net 21 /sdcard/SDIO_D0)) - (pad 8 smd rect (at 15.2 -12.9) (size 2.2 0.75) (layers F.Cu F.Paste F.Mask) - (net 22 /sdcard/SDIO_D1)) - (pad 9 smd rect (at 15.2 -14) (size 2.2 0.6) (layers F.Cu F.Paste F.Mask) - (net 23 /sdcard/SDCARD_DETECT)) - (pad 11 smd rect (at 4.4 0.5) (size 3 2) (layers F.Cu F.Paste F.Mask) + (pad 7 smd rect (at 15.2 11.8) (size 2.2 0.75) (layers B.Cu B.Paste B.Mask) + (net 20 /sdcard/SDIO_D0)) + (pad 8 smd rect (at 15.2 12.9) (size 2.2 0.75) (layers B.Cu B.Paste B.Mask) + (net 21 /sdcard/SDIO_D1)) + (pad 9 smd rect (at 15.2 14) (size 2.2 0.6) (layers B.Cu B.Paste B.Mask) + (net 22 /sdcard/SDCARD_DETECT)) + (pad 11 smd rect (at 4.4 -0.5) (size 3 2) (layers B.Cu B.Paste B.Mask) (net 2 GND)) - (pad 10 smd rect (at 14.5 -0.25) (size 2 2) (layers F.Cu F.Paste F.Mask) + (pad 10 smd rect (at 14.5 0.25) (size 2 2) (layers B.Cu B.Paste B.Mask) (net 2 GND)) - (pad 12 smd rect (at 4.4 -15.2) (size 3 2) (layers F.Cu F.Paste F.Mask) + (pad 12 smd rect (at 4.4 15.2) (size 3 2) (layers B.Cu B.Paste B.Mask) (net 2 GND)) - (pad 13 smd rect (at 14.5 -15.55) (size 1.5 1.5) (layers F.Cu F.Paste F.Mask) + (pad 13 smd rect (at 14.5 15.55) (size 1.5 1.5) (layers B.Cu B.Paste B.Mask) (net 2 GND)) ) (module Diodes_SMD:MELF_Standard (layer F.Cu) (tedit 552FE7DB) (tstamp 56D85441) - (at 116.928976 129.770622) + (at 189 80 180) (descr "Diode, MELF, Standard,") (tags "Diode MELF Standard ") (path /563244F7/56C2CCFA) (attr smd) - (fp_text reference D201 (at 0 -2.54) (layer F.SilkS) + (fp_text reference D201 (at 0 -2.54 180) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value Schottky (at 0 3.81) (layer F.Fab) + (fp_text value Schottky (at 0 2.5 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -3.4 -1.6) (end 3.4 -1.6) (layer F.CrtYd) (width 0.05)) @@ -2510,10 +2471,10 @@ (fp_line (start -0.20066 -1.24968) (end -0.20066 1.24968) (layer F.SilkS) (width 0.15)) (fp_line (start -0.20066 1.24968) (end -1.19888 0) (layer F.SilkS) (width 0.15)) (fp_line (start -1.19888 -1.24968) (end -1.19888 1.24968) (layer F.SilkS) (width 0.15)) - (fp_text user K (at -2.55 2.4) (layer F.SilkS) + (fp_text user K (at -4 0 180) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text user A (at 2.35 2.35) (layer F.SilkS) + (fp_text user A (at 4 0 180) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_circle (center 0 0) (end -0.8001 0.29972) (layer F.Adhes) (width 0.381)) @@ -2523,9 +2484,9 @@ (fp_line (start 1.09982 1.24968) (end 1.19888 1.24968) (layer F.SilkS) (width 0.15)) (fp_line (start -1.19888 -1.24968) (end 1.15062 -1.24968) (layer F.SilkS) (width 0.15)) (fp_line (start -1.19888 1.24968) (end 1.04902 1.24968) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -2.4003 0) (size 1.50114 2.70002) (layers F.Cu F.Paste F.Mask) - (net 24 "Net-(D201-Pad1)")) - (pad 2 smd rect (at 2.4003 0) (size 1.50114 2.70002) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at -2.4003 0 180) (size 1.50114 2.70002) (layers F.Cu F.Paste F.Mask) + (net 205 /powersupply/SSout)) + (pad 2 smd rect (at 2.4003 0 180) (size 1.50114 2.70002) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (model Diodes_SMD.3dshapes/MELF_Standard.wrl (at (xyz 0 0 0)) @@ -2535,15 +2496,15 @@ ) (module Diodes_SMD:MELF_Standard (layer F.Cu) (tedit 552FE7DB) (tstamp 56D85458) - (at 109.678976 128.960622) + (at 159.5 66 90) (descr "Diode, MELF, Standard,") (tags "Diode MELF Standard ") (path /563244F7/56C35CB0) (attr smd) - (fp_text reference D202 (at 0 -2.54) (layer F.SilkS) + (fp_text reference D202 (at 0 -2.54 90) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value Schottky (at 0 3.81) (layer F.Fab) + (fp_text value Schottky (at 0 3.81 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -3.4 -1.6) (end 3.4 -1.6) (layer F.CrtYd) (width 0.05)) @@ -2554,10 +2515,10 @@ (fp_line (start -0.20066 -1.24968) (end -0.20066 1.24968) (layer F.SilkS) (width 0.15)) (fp_line (start -0.20066 1.24968) (end -1.19888 0) (layer F.SilkS) (width 0.15)) (fp_line (start -1.19888 -1.24968) (end -1.19888 1.24968) (layer F.SilkS) (width 0.15)) - (fp_text user K (at -2.55 2.4) (layer F.SilkS) + (fp_text user K (at -4 0 90) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text user A (at 2.35 2.35) (layer F.SilkS) + (fp_text user A (at 4 0 90) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_circle (center 0 0) (end -0.8001 0.29972) (layer F.Adhes) (width 0.381)) @@ -2567,10 +2528,10 @@ (fp_line (start 1.09982 1.24968) (end 1.19888 1.24968) (layer F.SilkS) (width 0.15)) (fp_line (start -1.19888 -1.24968) (end 1.15062 -1.24968) (layer F.SilkS) (width 0.15)) (fp_line (start -1.19888 1.24968) (end 1.04902 1.24968) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -2.4003 0) (size 1.50114 2.70002) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at -2.4003 0 90) (size 1.50114 2.70002) (layers F.Cu F.Paste F.Mask) (net 1 VSYS)) - (pad 2 smd rect (at 2.4003 0) (size 1.50114 2.70002) (layers F.Cu F.Paste F.Mask) - (net 9 VUSB)) + (pad 2 smd rect (at 2.4003 0 90) (size 1.50114 2.70002) (layers F.Cu F.Paste F.Mask) + (net 8 VUSB)) (model Diodes_SMD.3dshapes/MELF_Standard.wrl (at (xyz 0 0 0)) (scale (xyz 0.3937 0.3937 0.3937)) @@ -2579,7 +2540,7 @@ ) (module Diodes_SMD:MELF_Standard (layer F.Cu) (tedit 552FE7DB) (tstamp 56D8546F) - (at 185.378976 72.950622) + (at 88.728976 -57.499378) (descr "Diode, MELF, Standard,") (tags "Diode MELF Standard ") (path /563244F7/56C21EC8) @@ -2612,7 +2573,7 @@ (fp_line (start -1.19888 -1.24968) (end 1.15062 -1.24968) (layer F.SilkS) (width 0.15)) (fp_line (start -1.19888 1.24968) (end 1.04902 1.24968) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -2.4003 0) (size 1.50114 2.70002) (layers F.Cu F.Paste F.Mask) - (net 25 /powersupply/SYS_VOLTAGE)) + (net 23 /powersupply/SYS_VOLTAGE)) (pad 2 smd rect (at 2.4003 0) (size 1.50114 2.70002) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (model Diodes_SMD.3dshapes/MELF_Standard.wrl @@ -2623,7 +2584,7 @@ ) (module smd:RGB-LED-LTST (layer F.Cu) (tedit 56D72C94) (tstamp 56D8547B) - (at 212.458975 58.92967) + (at 115.808975 -71.52033) (path /56C86141/56CC8360) (fp_text reference D901 (at 2.25 0.5 90) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) @@ -2638,23 +2599,23 @@ (pad 1 smd rect (at 0 0) (size 0.65 0.85) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) (pad 2 smd rect (at 0.85 0) (size 0.65 0.85) (layers F.Cu F.Paste F.Mask) - (net 26 "Net-(D901-Pad2)")) + (net 24 "Net-(D901-Pad2)")) (pad 4 smd rect (at 0 1.45) (size 0.65 0.85) (layers F.Cu F.Paste F.Mask) - (net 27 "Net-(D901-Pad4)")) + (net 25 "Net-(D901-Pad4)")) (pad 3 smd rect (at 0.85 1.45) (size 0.65 0.85) (layers F.Cu F.Paste F.Mask) - (net 28 "Net-(D901-Pad3)")) + (net 26 "Net-(D901-Pad3)")) ) (module Resistors_SMD:R_1206 (layer F.Cu) (tedit 5415CFA7) (tstamp 56D85487) - (at 89.478976 130.780622) + (at 153 61.95 270) (descr "Resistor SMD 1206, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 1206") (path /563244F7/56D79A3E) (attr smd) - (fp_text reference F201 (at 0 -2.3) (layer F.SilkS) + (fp_text reference F201 (at 0 -2.3 270) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value 1A (at 0 2.3) (layer F.Fab) + (fp_text value 1A (at 0 2.3 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -2.2 -1.2) (end 2.2 -1.2) (layer F.CrtYd) (width 0.05)) @@ -2663,10 +2624,10 @@ (fp_line (start 2.2 -1.2) (end 2.2 1.2) (layer F.CrtYd) (width 0.05)) (fp_line (start 1 1.075) (end -1 1.075) (layer F.SilkS) (width 0.15)) (fp_line (start -1 -1.075) (end 1 -1.075) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -1.45 0) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) - (net 29 "Net-(F201-Pad1)")) - (pad 2 smd rect (at 1.45 0) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) - (net 30 "Net-(F201-Pad2)")) + (pad 1 smd rect (at -1.45 0 270) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 27 "Net-(F201-Pad1)")) + (pad 2 smd rect (at 1.45 0 270) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 28 "Net-(F201-Pad2)")) (model Resistors_SMD.3dshapes/R_1206.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2675,15 +2636,15 @@ ) (module Resistors_SMD:R_1206 (layer F.Cu) (tedit 5415CFA7) (tstamp 56D85493) - (at 94.328976 130.780622) + (at 164 70 270) (descr "Resistor SMD 1206, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 1206") (path /563244F7/56D7584B) (attr smd) - (fp_text reference F202 (at 0 -2.3) (layer F.SilkS) + (fp_text reference F202 (at 0 2 270) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value 1A (at 0 2.3) (layer F.Fab) + (fp_text value 1A (at 0 2.3 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -2.2 -1.2) (end 2.2 -1.2) (layer F.CrtYd) (width 0.05)) @@ -2692,10 +2653,10 @@ (fp_line (start 2.2 -1.2) (end 2.2 1.2) (layer F.CrtYd) (width 0.05)) (fp_line (start 1 1.075) (end -1 1.075) (layer F.SilkS) (width 0.15)) (fp_line (start -1 -1.075) (end 1 -1.075) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -1.45 0) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) - (net 31 VBAT)) - (pad 2 smd rect (at 1.45 0) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) - (net 32 "Net-(F202-Pad2)")) + (pad 1 smd rect (at -1.45 0 270) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 29 VBAT)) + (pad 2 smd rect (at 1.45 0 270) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 208 /powersupply/B+)) (model Resistors_SMD.3dshapes/R_1206.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2704,14 +2665,14 @@ ) (module smd:3.5mm-stereo-audio-jack (layer F.Cu) (tedit 56D70A71) (tstamp 56D854AB) - (at 230.408976 93.040622) + (at 133.4 69.95 270) (descr http://www.switchcraft.com/Drawings/35rasmt2bhntrx_cd.pdf) (tags "TRS Audio 3.5 mm jack headphone phone") (path /56CA5D53/56CB18AA) - (fp_text reference J801 (at -2.25 -3.55 90) (layer F.SilkS) + (fp_text reference J801 (at -2.25 -3.55 360) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value JACK_TRS_3PINS (at -11.35 -8.6) (layer F.Fab) + (fp_text value JACK_TRS_3PINS (at -11.35 -8.6 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -3.1 -1.6) (end -3.1 -7.7) (layer F.SilkS) (width 0.15)) @@ -2729,26 +2690,26 @@ (fp_line (start -16.7 -1.6) (end -16.7 -2.2) (layer F.SilkS) (width 0.15)) (fp_line (start -16.5 -2.2) (end -16.7 -2.2) (layer F.SilkS) (width 0.15)) (fp_line (start -17.6 -7.7) (end -17.6 -7.1) (layer F.SilkS) (width 0.15)) - (pad "" np_thru_hole circle (at -14 -4.65) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask F.SilkS)) - (pad "" np_thru_hole circle (at -7 -4.65) (size 1.8 1.8) (drill 1.8) (layers *.Cu *.Mask F.SilkS)) - (pad 1 smd rect (at -15.2 -1) (size 2.4 2.55) (layers F.Cu F.Paste F.Mask) + (pad "" np_thru_hole circle (at -14 -4.65 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask F.SilkS)) + (pad "" np_thru_hole circle (at -7 -4.65 270) (size 1.8 1.8) (drill 1.8) (layers *.Cu *.Mask F.SilkS)) + (pad 1 smd rect (at -15.2 -1 270) (size 2.4 2.55) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 3 smd rect (at -13.2 -8.35) (size 2.4 2.55) (layers F.Cu F.Paste F.Mask) - (net 15 /audio/AOUTR)) - (pad 2 smd rect (at -5.9 -1.1) (size 2.9 2.75) (layers F.Cu F.Paste F.Mask) - (net 13 /audio/AOUTL)) + (pad 3 smd rect (at -13.2 -8.35 270) (size 2.4 2.55) (layers F.Cu F.Paste F.Mask) + (net 14 /audio/AOUTR)) + (pad 2 smd rect (at -5.9 -1.1 270) (size 2.9 2.75) (layers F.Cu F.Paste F.Mask) + (net 12 /audio/AOUTL)) ) (module Choke_SMD:Choke_SMD_7.3x7.3_H4.5 (layer F.Cu) (tedit 552CF781) (tstamp 56D854BD) - (at 162.228976 107.205622) + (at 189 86.5 270) (descr "Choke, SMD, 7.3x7.3mm 4.5mm height") (tags "Choke, SMD") (path /563244F7/56C2DF1B) (attr smd) - (fp_text reference L201 (at 0 -4.445) (layer F.SilkS) + (fp_text reference L201 (at 0 -4.445 270) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value 15u (at 0 4.445) (layer F.Fab) + (fp_text value 15u (at 0 4.445 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -4.2 -3.9) (end -4.2 3.9) (layer F.CrtYd) (width 0.05)) @@ -2763,19 +2724,19 @@ (fp_line (start -3.65 -3.65) (end -3.65 -1.4) (layer F.SilkS) (width 0.15)) (fp_line (start 3.65 3.65) (end -3.65 3.65) (layer F.SilkS) (width 0.15)) (fp_line (start -3.65 -3.65) (end 3.65 -3.65) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -3.2 0) (size 1.5 2.2) (layers F.Cu F.Paste F.Mask) - (net 24 "Net-(D201-Pad1)")) - (pad 2 smd rect (at 3.2 0) (size 1.5 2.2) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at -3.2 0 270) (size 1.5 2.2) (layers F.Cu F.Paste F.Mask) + (net 205 /powersupply/SSout)) + (pad 2 smd rect (at 3.2 0 270) (size 1.5 2.2) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) ) (module Resistors_SMD:R_0805 (layer F.Cu) (tedit 5415CDEB) (tstamp 56D854C9) - (at 168.881356 104.860622) + (at 136 107.4) (descr "Resistor SMD 0805, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0805") (path /563244F7/56325A95) (attr smd) - (fp_text reference L202 (at 0 -2.1) (layer F.SilkS) + (fp_text reference L202 (at 3.8 0.1) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value Filter (at 0 2.1) (layer F.Fab) @@ -2788,7 +2749,7 @@ (fp_line (start 0.6 0.875) (end -0.6 0.875) (layer F.SilkS) (width 0.15)) (fp_line (start -0.6 -0.875) (end 0.6 -0.875) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -0.95 0) (size 0.7 1.3) (layers F.Cu F.Paste F.Mask) - (net 8 "Net-(C229-Pad1)")) + (net 204 /powersupply/LSout)) (pad 2 smd rect (at 0.95 0) (size 0.7 1.3) (layers F.Cu F.Paste F.Mask) (net 7 +1V2)) (model Resistors_SMD.3dshapes/R_0805.wrl @@ -2799,15 +2760,15 @@ ) (module Resistors_SMD:R_0805 (layer F.Cu) (tedit 5415CDEB) (tstamp 56D854D5) - (at 144.191356 118.830622) + (at 153 66 90) (descr "Resistor SMD 0805, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0805") (path /563244F7/56331D46) (attr smd) - (fp_text reference L203 (at 0 -2.1) (layer F.SilkS) + (fp_text reference L203 (at 0 -2.1 90) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value Filter (at 0 2.1) (layer F.Fab) + (fp_text value Filter (at 0 2.1 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -1.6 -1) (end 1.6 -1) (layer F.CrtYd) (width 0.05)) @@ -2816,10 +2777,10 @@ (fp_line (start 1.6 -1) (end 1.6 1) (layer F.CrtYd) (width 0.05)) (fp_line (start 0.6 0.875) (end -0.6 0.875) (layer F.SilkS) (width 0.15)) (fp_line (start -0.6 -0.875) (end 0.6 -0.875) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.95 0) (size 0.7 1.3) (layers F.Cu F.Paste F.Mask) - (net 9 VUSB)) - (pad 2 smd rect (at 0.95 0) (size 0.7 1.3) (layers F.Cu F.Paste F.Mask) - (net 30 "Net-(F201-Pad2)")) + (pad 1 smd rect (at -0.95 0 90) (size 0.7 1.3) (layers F.Cu F.Paste F.Mask) + (net 8 VUSB)) + (pad 2 smd rect (at 0.95 0 90) (size 0.7 1.3) (layers F.Cu F.Paste F.Mask) + (net 28 "Net-(F201-Pad2)")) (model Resistors_SMD.3dshapes/R_0805.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2828,15 +2789,15 @@ ) (module Connect:USB_Mini-B (layer F.Cu) (tedit 5543E571) (tstamp 56D854ED) - (at 186.828976 61.409922) + (at 151.3998 54.55068 270) (descr "USB Mini-B 5-pin SMD connector") (tags "USB USB_B USB_Mini connector") (path /563244F7/5632B6C6) (attr smd) - (fp_text reference P201 (at 0 6.90118) (layer F.SilkS) + (fp_text reference P201 (at 0 6.90118 270) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value USB_OTG (at 0 -7.0993) (layer F.Fab) + (fp_text value USB_OTG (at 0 -7.0993 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -4.85 -5.7) (end 4.85 -5.7) (layer F.CrtYd) (width 0.05)) @@ -2848,36 +2809,36 @@ (fp_line (start -4.59994 3.85064) (end 4.59994 3.85064) (layer F.SilkS) (width 0.15)) (fp_line (start 4.59994 3.85064) (end 4.59994 -3.85064) (layer F.SilkS) (width 0.15)) (fp_line (start 4.59994 -3.85064) (end -4.59994 -3.85064) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at 3.44932 -1.6002) (size 2.30124 0.50038) (layers F.Cu F.Paste F.Mask) - (net 29 "Net-(F201-Pad1)")) - (pad 2 smd rect (at 3.44932 -0.8001) (size 2.30124 0.50038) (layers F.Cu F.Paste F.Mask) - (net 33 "Net-(P201-Pad2)")) - (pad 3 smd rect (at 3.44932 0) (size 2.30124 0.50038) (layers F.Cu F.Paste F.Mask) - (net 34 "Net-(P201-Pad3)")) - (pad 4 smd rect (at 3.44932 0.8001) (size 2.30124 0.50038) (layers F.Cu F.Paste F.Mask) - (net 35 "Net-(P201-Pad4)")) - (pad 5 smd rect (at 3.44932 1.6002) (size 2.30124 0.50038) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at 3.44932 -1.6002 270) (size 2.30124 0.50038) (layers F.Cu F.Paste F.Mask) + (net 27 "Net-(F201-Pad1)")) + (pad 2 smd rect (at 3.44932 -0.8001 270) (size 2.30124 0.50038) (layers F.Cu F.Paste F.Mask) + (net 206 /powersupply/D-)) + (pad 3 smd rect (at 3.44932 0 270) (size 2.30124 0.50038) (layers F.Cu F.Paste F.Mask) + (net 207 /powersupply/D+)) + (pad 4 smd rect (at 3.44932 0.8001 270) (size 2.30124 0.50038) (layers F.Cu F.Paste F.Mask) + (net 30 "Net-(P201-Pad4)")) + (pad 5 smd rect (at 3.44932 1.6002 270) (size 2.30124 0.50038) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 6 smd rect (at 3.35026 -4.45008) (size 2.49936 1.99898) (layers F.Cu F.Paste F.Mask) - (net 36 "Net-(P201-Pad6)")) - (pad 6 smd rect (at -2.14884 -4.45008) (size 2.49936 1.99898) (layers F.Cu F.Paste F.Mask) - (net 36 "Net-(P201-Pad6)")) - (pad 6 smd rect (at 3.35026 4.45008) (size 2.49936 1.99898) (layers F.Cu F.Paste F.Mask) - (net 36 "Net-(P201-Pad6)")) - (pad 6 smd rect (at -2.14884 4.45008) (size 2.49936 1.99898) (layers F.Cu F.Paste F.Mask) - (net 36 "Net-(P201-Pad6)")) - (pad "" np_thru_hole circle (at 0.8509 -2.19964) (size 0.89916 0.89916) (drill 0.89916) (layers *.Cu *.Mask F.SilkS)) - (pad "" np_thru_hole circle (at 0.8509 2.19964) (size 0.89916 0.89916) (drill 0.89916) (layers *.Cu *.Mask F.SilkS)) + (pad 6 smd rect (at 3.35026 -4.45008 270) (size 2.49936 1.99898) (layers F.Cu F.Paste F.Mask) + (net 31 "Net-(P201-Pad6)")) + (pad 6 smd rect (at -2.14884 -4.45008 270) (size 2.49936 1.99898) (layers F.Cu F.Paste F.Mask) + (net 31 "Net-(P201-Pad6)")) + (pad 6 smd rect (at 3.35026 4.45008 270) (size 2.49936 1.99898) (layers F.Cu F.Paste F.Mask) + (net 31 "Net-(P201-Pad6)")) + (pad 6 smd rect (at -2.14884 4.45008 270) (size 2.49936 1.99898) (layers F.Cu F.Paste F.Mask) + (net 31 "Net-(P201-Pad6)")) + (pad "" np_thru_hole circle (at 0.8509 -2.19964 270) (size 0.89916 0.89916) (drill 0.89916) (layers *.Cu *.Mask F.SilkS)) + (pad "" np_thru_hole circle (at 0.8509 2.19964 270) (size 0.89916 0.89916) (drill 0.89916) (layers *.Cu *.Mask F.SilkS)) ) (module Connect:CNT3BRCMS (layer F.Cu) (tedit 0) (tstamp 56D85502) - (at 192.992975 58.286623) + (at 162 74.5 180) (path /563244F7/56C22F77) (attr smd) - (fp_text reference P202 (at 2.54 0 90) (layer F.SilkS) + (fp_text reference P202 (at 0.5 -4.77 270) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value BAT (at 2.54 0 90) (layer F.Fab) + (fp_text value BAT (at 2.54 0 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start 4.7625 -2.667) (end 3.81 -2.667) (layer F.SilkS) (width 0.15)) @@ -2892,18 +2853,18 @@ (fp_line (start 1.397 4.826) (end 1.397 2.794) (layer F.SilkS) (width 0.15)) (fp_line (start 1.397 2.794) (end 0.635 2.794) (layer F.SilkS) (width 0.15)) (fp_line (start 0.635 2.794) (end 0.635 0) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at 0 -1.27) (size 1.778 0.8128) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at 0 -1.27 180) (size 1.778 0.8128) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 2 smd rect (at 0 0) (size 1.778 0.8128) (layers F.Cu F.Paste F.Mask) - (net 32 "Net-(F202-Pad2)")) - (pad 3 smd rect (at 0 1.27) (size 1.778 0.8128) (layers F.Cu F.Paste F.Mask) - (net 37 /powersupply/THERM)) - (pad "" smd rect (at 2.9845 -3.81) (size 3.048 2.032) (layers F.Cu F.Paste F.Mask)) - (pad "" smd rect (at 2.9845 3.81) (size 3.048 2.032) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at 0 0 180) (size 1.778 0.8128) (layers F.Cu F.Paste F.Mask) + (net 208 /powersupply/B+)) + (pad 3 smd rect (at 0 1.27 180) (size 1.778 0.8128) (layers F.Cu F.Paste F.Mask) + (net 32 /powersupply/THERM)) + (pad "" smd rect (at 2.9845 -3.81 180) (size 3.048 2.032) (layers F.Cu F.Paste F.Mask)) + (pad "" smd rect (at 2.9845 3.81 180) (size 3.048 2.032) (layers F.Cu F.Paste F.Mask)) ) (module Pin_Headers:Pin_Header_Angled_2x03 (layer F.Cu) (tedit 0) (tstamp 56D85539) - (at 100.772308 143.840622) + (at 118.122308 140.390622) (descr "Through hole pin header") (tags "pin header") (path /56337D98/56CD008F) @@ -2959,15 +2920,15 @@ (fp_line (start 4.064 -1.27) (end 4.064 1.27) (layer F.SilkS) (width 0.15)) (fp_line (start 4.064 -1.27) (end 6.604 -1.27) (layer F.SilkS) (width 0.15)) (pad 1 thru_hole rect (at 0 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 38 /debug/NRST)) + (net 33 /debug/NRST)) (pad 2 thru_hole oval (at 2.54 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) (net 2 GND)) (pad 3 thru_hole oval (at 0 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 39 /debug/BOOT0)) + (net 34 /debug/BOOT0)) (pad 4 thru_hole oval (at 2.54 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) (net 2 GND)) (pad 5 thru_hole oval (at 0 5.08) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 40 /FSMC/BOOT1)) + (net 35 /FSMC/BOOT1)) (pad 6 thru_hole oval (at 2.54 5.08) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) (net 2 GND)) (model Pin_Headers.3dshapes/Pin_Header_Angled_2x03.wrl @@ -2978,7 +2939,7 @@ ) (module Pin_Headers:Pin_Header_Angled_2x05 (layer F.Cu) (tedit 0) (tstamp 56D85589) - (at 82.242309 143.840622) + (at 99.592309 140.390622) (descr "Through hole pin header") (tags "pin header") (path /56337D98/56338EF4) @@ -3055,25 +3016,25 @@ (fp_line (start 4.064 -1.27) (end 4.064 1.27) (layer F.SilkS) (width 0.15)) (fp_line (start 4.064 -1.27) (end 6.604 -1.27) (layer F.SilkS) (width 0.15)) (pad 1 thru_hole rect (at 0 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 41 /debug/FTCK)) + (net 36 /debug/FTCK)) (pad 2 thru_hole oval (at 2.54 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) (net 2 GND)) (pad 3 thru_hole oval (at 0 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 42 /debug/FTMS)) + (net 37 /debug/FTMS)) (pad 4 thru_hole oval (at 2.54 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) (net 2 GND)) (pad 5 thru_hole oval (at 0 5.08) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 43 /debug/FTDI)) + (net 38 /debug/FTDI)) (pad 6 thru_hole oval (at 2.54 5.08) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) (net 6 VFPGA33)) (pad 7 thru_hole oval (at 0 7.62) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 44 /debug/FTDO)) + (net 39 /debug/FTDO)) (pad 8 thru_hole oval (at 2.54 7.62) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 45 "Net-(P302-Pad8)")) + (net 40 "Net-(P302-Pad8)")) (pad 9 thru_hole oval (at 0 10.16) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 46 "Net-(P302-Pad9)")) + (net 41 "Net-(P302-Pad9)")) (pad 10 thru_hole oval (at 2.54 10.16) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 47 "Net-(P302-Pad10)")) + (net 42 "Net-(P302-Pad10)")) (model Pin_Headers.3dshapes/Pin_Header_Angled_2x05.wrl (at (xyz 0.05 -0.2 0)) (scale (xyz 1 1 1)) @@ -3082,7 +3043,7 @@ ) (module Pin_Headers:Pin_Header_Angled_1x06 (layer F.Cu) (tedit 0) (tstamp 56D855D4) - (at 66.26707 143.840622) + (at 83.61707 140.390622) (descr "Through hole pin header") (tags "pin header") (path /56337D98/56C73EAE) @@ -3158,15 +3119,15 @@ (fp_line (start 1.524 3.81) (end 1.524 6.35) (layer F.SilkS) (width 0.15)) (fp_line (start 1.524 3.81) (end 4.064 3.81) (layer F.SilkS) (width 0.15)) (pad 1 thru_hole rect (at 0 0) (size 2.032 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 48 /debug/JTCK)) + (net 43 /debug/JTCK)) (pad 2 thru_hole oval (at 0 2.54) (size 2.032 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 49 /debug/JTMS)) + (net 44 /debug/JTMS)) (pad 3 thru_hole oval (at 0 5.08) (size 2.032 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 50 /debug/JTDI)) + (net 45 /debug/JTDI)) (pad 4 thru_hole oval (at 0 7.62) (size 2.032 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 51 /FSMC/JTDO)) + (net 46 /FSMC/JTDO)) (pad 5 thru_hole oval (at 0 10.16) (size 2.032 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 52 /FSMC/JRST_N)) + (net 47 /FSMC/JRST_N)) (pad 6 thru_hole oval (at 0 12.7) (size 2.032 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) (net 2 GND)) (model Pin_Headers.3dshapes/Pin_Header_Angled_1x06.wrl @@ -3177,14 +3138,14 @@ ) (module footprints:FDC608PZ (layer F.Cu) (tedit 56D8223C) (tstamp 56D855E5) - (at 130.278976 131.063956) + (at 140.5 103.45 90) (descr http://akizukidenshi.com/download/ds/fairchild/supersot_dim.pdf) (tags "SuperSOT-6 SMD SSOT") (path /563244F7/56C35C12) - (fp_text reference Q201 (at 3.7 -1.2 90) (layer F.SilkS) + (fp_text reference Q201 (at 0.95 1.5 270) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value FDC608PZ (at 5 -2.9 90) (layer F.Fab) + (fp_text value FDC608PZ (at 5 -2.9 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -1 -2.1) (end -0.5 -2.1) (layer F.SilkS) (width 0.15)) @@ -3194,22 +3155,22 @@ (fp_circle (center -0.7 -0.8) (end -0.6 -0.8) (layer F.SilkS) (width 0.1)) (fp_line (start -1 -0.5) (end -1 -2.1) (layer F.SilkS) (width 0.15)) (fp_line (start 2.9 -2.1) (end 2.9 -0.5) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at 0 0) (size 0.7 1) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at 0 0 90) (size 0.7 1) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 1 smd rect (at 0.95 0) (size 0.7 1) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at 0.95 0 90) (size 0.7 1) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 2 smd rect (at 1.9 0) (size 0.7 1) (layers F.Cu F.Paste F.Mask) - (net 53 /powersupply/FPGA_ENABLE_N)) - (pad 3 smd rect (at 1.9 -2.6) (size 0.7 1) (layers F.Cu F.Paste F.Mask) + (pad 2 smd rect (at 1.9 0 90) (size 0.7 1) (layers F.Cu F.Paste F.Mask) + (net 48 /powersupply/FPGA_ENABLE_N)) + (pad 3 smd rect (at 1.9 -2.6 90) (size 0.7 1) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) - (pad 1 smd rect (at 0.95 -2.6) (size 0.7 1) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at 0.95 -2.6 90) (size 0.7 1) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 1 smd rect (at 0 -2.6) (size 0.7 1) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at 0 -2.6 90) (size 0.7 1) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) ) (module footprints:FDC608PZ (layer F.Cu) (tedit 56D8223C) (tstamp 56D855F6) - (at 199.298976 60.193956) + (at 102.648976 -70.256044) (descr http://akizukidenshi.com/download/ds/fairchild/supersot_dim.pdf) (tags "SuperSOT-6 SMD SSOT") (path /563244F7/56C5D295) @@ -3227,29 +3188,29 @@ (fp_line (start -1 -0.5) (end -1 -2.1) (layer F.SilkS) (width 0.15)) (fp_line (start 2.9 -2.1) (end 2.9 -0.5) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at 0 0) (size 0.7 1) (layers F.Cu F.Paste F.Mask) - (net 54 "Net-(Q202-Pad1)")) + (net 49 "Net-(Q202-Pad1)")) (pad 1 smd rect (at 0.95 0) (size 0.7 1) (layers F.Cu F.Paste F.Mask) - (net 54 "Net-(Q202-Pad1)")) + (net 49 "Net-(Q202-Pad1)")) (pad 2 smd rect (at 1.9 0) (size 0.7 1) (layers F.Cu F.Paste F.Mask) - (net 55 /FSMC/BACKLIGHT_EN_N)) + (net 50 /FSMC/BACKLIGHT_EN_N)) (pad 3 smd rect (at 1.9 -2.6) (size 0.7 1) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) (pad 1 smd rect (at 0.95 -2.6) (size 0.7 1) (layers F.Cu F.Paste F.Mask) - (net 54 "Net-(Q202-Pad1)")) + (net 49 "Net-(Q202-Pad1)")) (pad 1 smd rect (at 0 -2.6) (size 0.7 1) (layers F.Cu F.Paste F.Mask) - (net 54 "Net-(Q202-Pad1)")) + (net 49 "Net-(Q202-Pad1)")) ) (module TO_SOT_Packages_SMD:SOT-23 (layer F.Cu) (tedit 553634F8) (tstamp 56D85606) - (at 99.957546 130.730622) + (at 168.00178 74.5 270) (descr "SOT-23, Standard") (tags SOT-23) (path /563244F7/56C543A2) (attr smd) - (fp_text reference Q203 (at 0 -2.25) (layer F.SilkS) + (fp_text reference Q204 (at 0 -2.25 270) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value BSH105 (at 0 2.3) (layer F.Fab) + (fp_text value BSH105 (at 0 2.3 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -1.65 -1.6) (end 1.65 -1.6) (layer F.CrtYd) (width 0.05)) @@ -3261,12 +3222,12 @@ (fp_line (start -1.49982 -0.65024) (end -1.2509 -0.65024) (layer F.SilkS) (width 0.15)) (fp_line (start 1.29916 -0.65024) (end 1.49982 -0.65024) (layer F.SilkS) (width 0.15)) (fp_line (start 1.49982 -0.65024) (end 1.49982 0.0508) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.95 1.00076) (size 0.8001 0.8001) (layers F.Cu F.Paste F.Mask) - (net 56 /powersupply/CHARGE_ENABLE)) - (pad 2 smd rect (at 0.95 1.00076) (size 0.8001 0.8001) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at -0.95 1.00076 270) (size 0.8001 0.8001) (layers F.Cu F.Paste F.Mask) + (net 51 /powersupply/CHARGE_ENABLE)) + (pad 2 smd rect (at 0.95 1.00076 270) (size 0.8001 0.8001) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 3 smd rect (at 0 -0.99822) (size 0.8001 0.8001) (layers F.Cu F.Paste F.Mask) - (net 57 /powersupply/PROG_SWITCHED)) + (pad 3 smd rect (at 0 -0.99822 270) (size 0.8001 0.8001) (layers F.Cu F.Paste F.Mask) + (net 52 /powersupply/PROG_SWITCHED)) (model TO_SOT_Packages_SMD.3dshapes/SOT-23.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3275,14 +3236,14 @@ ) (module footprints:FDC608PZ (layer F.Cu) (tedit 56D8223C) (tstamp 56D85617) - (at 177.328976 87.243956) + (at 164.4 64.5 180) (descr http://akizukidenshi.com/download/ds/fairchild/supersot_dim.pdf) (tags "SuperSOT-6 SMD SSOT") (path /563244F7/56C2F230) - (fp_text reference Q204 (at 3.7 -1.2 90) (layer F.SilkS) + (fp_text reference Q203 (at -1.1 1.5 450) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value FDC608PZ (at 5 -2.9 90) (layer F.Fab) + (fp_text value FDC608PZ (at 5 -2.9 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -1 -2.1) (end -0.5 -2.1) (layer F.SilkS) (width 0.15)) @@ -3292,22 +3253,22 @@ (fp_circle (center -0.7 -0.8) (end -0.6 -0.8) (layer F.SilkS) (width 0.1)) (fp_line (start -1 -0.5) (end -1 -2.1) (layer F.SilkS) (width 0.15)) (fp_line (start 2.9 -2.1) (end 2.9 -0.5) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at 0 0) (size 0.7 1) (layers F.Cu F.Paste F.Mask) - (net 31 VBAT)) - (pad 1 smd rect (at 0.95 0) (size 0.7 1) (layers F.Cu F.Paste F.Mask) - (net 31 VBAT)) - (pad 2 smd rect (at 1.9 0) (size 0.7 1) (layers F.Cu F.Paste F.Mask) - (net 9 VUSB)) - (pad 3 smd rect (at 1.9 -2.6) (size 0.7 1) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at 0 0 180) (size 0.7 1) (layers F.Cu F.Paste F.Mask) + (net 29 VBAT)) + (pad 1 smd rect (at 0.95 0 180) (size 0.7 1) (layers F.Cu F.Paste F.Mask) + (net 29 VBAT)) + (pad 2 smd rect (at 1.9 0 180) (size 0.7 1) (layers F.Cu F.Paste F.Mask) + (net 8 VUSB)) + (pad 3 smd rect (at 1.9 -2.6 180) (size 0.7 1) (layers F.Cu F.Paste F.Mask) (net 1 VSYS)) - (pad 1 smd rect (at 0.95 -2.6) (size 0.7 1) (layers F.Cu F.Paste F.Mask) - (net 31 VBAT)) - (pad 1 smd rect (at 0 -2.6) (size 0.7 1) (layers F.Cu F.Paste F.Mask) - (net 31 VBAT)) + (pad 1 smd rect (at 0.95 -2.6 180) (size 0.7 1) (layers F.Cu F.Paste F.Mask) + (net 29 VBAT)) + (pad 1 smd rect (at 0 -2.6 180) (size 0.7 1) (layers F.Cu F.Paste F.Mask) + (net 29 VBAT)) ) (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D85623) - (at 191.457546 98.460622) + (at 139.25 99.5) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /563244F7/56C37B0F) @@ -3327,7 +3288,7 @@ (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 53 /powersupply/FPGA_ENABLE_N)) + (net 48 /powersupply/FPGA_ENABLE_N)) (model Resistors_SMD.3dshapes/R_0603.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3336,7 +3297,7 @@ ) (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D8562F) - (at 187.057546 92.960622) + (at 90.407546 -37.489378) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /563244F7/56C641C3) @@ -3354,7 +3315,7 @@ (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 55 /FSMC/BACKLIGHT_EN_N)) + (net 50 /FSMC/BACKLIGHT_EN_N)) (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) (model Resistors_SMD.3dshapes/R_0603.wrl @@ -3365,7 +3326,7 @@ ) (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D8563B) - (at 182.657546 104.760622) + (at 86.007546 -25.689378) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /563244F7/56C66E4A) @@ -3383,9 +3344,9 @@ (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 58 "Net-(R203-Pad1)")) + (net 53 "Net-(R203-Pad1)")) (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 54 "Net-(Q202-Pad1)")) + (net 49 "Net-(Q202-Pad1)")) (model Resistors_SMD.3dshapes/R_0603.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3393,28 +3354,28 @@ ) ) - (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D85647) - (at 204.407546 82.520622) + (module Resistors_SMD:R_0603 (layer B.Cu) (tedit 5415CC62) (tstamp 56D85647) + (at 115.5 76) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /563244F7/56323FAE) (attr smd) - (fp_text reference R204 (at 0 -1.9) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference R204 (at 0 1.9) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_text value 10k (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value 10k (at 0 -1.9) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.3 0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.3 -0.8) (end -1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.3 -0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) - (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (fp_line (start -1.3 0.8) (end 1.3 0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.3 0.8) (end -1.3 -0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.3 0.8) (end 1.3 -0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start 0.5 -0.675) (end -0.5 -0.675) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.5 0.675) (end 0.5 0.675) (layer B.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers B.Cu B.Paste B.Mask) (net 6 VFPGA33)) - (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 59 /powersupply/TOE)) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers B.Cu B.Paste B.Mask) + (net 54 /powersupply/TOE)) (model Resistors_SMD.3dshapes/R_0603.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3422,57 +3383,28 @@ ) ) - (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D85653) - (at 177.657546 110.560622) + (module Resistors_SMD:R_0603 (layer B.Cu) (tedit 5415CC62) (tstamp 56D85653) + (at 115.5 74) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /563244F7/56324015) (attr smd) - (fp_text reference R205 (at 0 -1.9) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference R205 (at 0 1.9) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_text value 10k (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value 10k (at 0 -1.9) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.3 0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.3 -0.8) (end -1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.3 -0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) - (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (fp_line (start -1.3 0.8) (end 1.3 0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.3 0.8) (end -1.3 -0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.3 0.8) (end 1.3 -0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start 0.5 -0.675) (end -0.5 -0.675) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.5 0.675) (end 0.5 0.675) (layer B.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers B.Cu B.Paste B.Mask) (net 6 VFPGA33)) - (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 60 /powersupply/CFG0)) - (model Resistors_SMD.3dshapes/R_0603.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D8565F) - (at 168.807546 116.860622) - (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") - (tags "resistor 0603") - (path /563244F7/56C403C0) - (attr smd) - (fp_text reference R206 (at 0 -1.9) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 10k (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.3 0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.3 -0.8) (end -1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.3 -0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) - (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 3 VSTM33)) - (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 61 /powersupply/CHG_ACTIVE_N)) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers B.Cu B.Paste B.Mask) + (net 55 /powersupply/CFG0)) (model Resistors_SMD.3dshapes/R_0603.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3481,15 +3413,15 @@ ) (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D8566B) - (at 157.367546 127.850622) + (at 149.5 62.5 180) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /563244F7/56CCFDB8) (attr smd) - (fp_text reference R207 (at 0 -1.9) (layer F.SilkS) + (fp_text reference R206 (at 3.5 0 180) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value DNP (at 0 1.9) (layer F.Fab) + (fp_text value DNP (at 0 1.9 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer F.CrtYd) (width 0.05)) @@ -3498,9 +3430,9 @@ (fp_line (start 1.3 -0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 33 "Net-(P201-Pad2)")) - (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 206 /powersupply/D-)) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (model Resistors_SMD.3dshapes/R_0603.wrl (at (xyz 0 0 0)) @@ -3509,45 +3441,16 @@ ) ) - (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D85677) - (at 191.457546 92.560622) - (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") - (tags "resistor 0603") - (path /563244F7/56C292A1) - (attr smd) - (fp_text reference R208 (at 0 -1.9) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 10k (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.3 0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.3 -0.8) (end -1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.3 -0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) - (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 3 VSTM33)) - (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 62 /powersupply/USB_PRESENT_N)) - (model Resistors_SMD.3dshapes/R_0603.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D85683) - (at 178.257546 103.670622) + (at 149.5 60.5 180) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /563244F7/56CCFECF) (attr smd) - (fp_text reference R209 (at 0 -1.9) (layer F.SilkS) + (fp_text reference R207 (at 3.5 0 180) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value DNP (at 0 1.9) (layer F.Fab) + (fp_text value DNP (at 0 1.9 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer F.CrtYd) (width 0.05)) @@ -3556,9 +3459,9 @@ (fp_line (start 1.3 -0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 34 "Net-(P201-Pad3)")) - (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 207 /powersupply/D+)) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (model Resistors_SMD.3dshapes/R_0603.wrl (at (xyz 0 0 0)) @@ -3568,15 +3471,15 @@ ) (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D8568F) - (at 198.707546 84.510622) + (at 147 61 270) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /563244F7/56C5AB35) (attr smd) - (fp_text reference R210 (at 0 -1.9) (layer F.SilkS) + (fp_text reference R208 (at 0 -1.9 270) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value 0 (at 0 1.9) (layer F.Fab) + (fp_text value 0 (at 0 1.9 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer F.CrtYd) (width 0.05)) @@ -3585,9 +3488,9 @@ (fp_line (start 1.3 -0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 36 "Net-(P201-Pad6)")) - (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 31 "Net-(P201-Pad6)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (model Resistors_SMD.3dshapes/R_0603.wrl (at (xyz 0 0 0)) @@ -3597,15 +3500,15 @@ ) (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D8569B) - (at 151.657546 130.830622) + (at 167 71.25 270) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /563244F7/56C24FD6) (attr smd) - (fp_text reference R211 (at 0 -1.9) (layer F.SilkS) + (fp_text reference R210 (at 0 -1.9 270) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value 2.2k (at 0 1.9) (layer F.Fab) + (fp_text value 2.2k (at 0 1.9 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer F.CrtYd) (width 0.05)) @@ -3614,10 +3517,10 @@ (fp_line (start 1.3 -0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 63 /powersupply/PROG)) - (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 57 /powersupply/PROG_SWITCHED)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 58 /powersupply/PROG)) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 52 /powersupply/PROG_SWITCHED)) (model Resistors_SMD.3dshapes/R_0603.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3625,12 +3528,70 @@ ) ) - (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D856A7) - (at 173.257546 110.960622) + (module Resistors_SMD:R_0603 (layer B.Cu) (tedit 5415CC62) (tstamp 56D856A7) + (at 163.25 63) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /563244F7/56C2F66F) (attr smd) + (fp_text reference R209 (at 0 1.9) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 0 -1.9) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -1.3 0.8) (end 1.3 0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.3 0.8) (end -1.3 -0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.3 0.8) (end 1.3 -0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start 0.5 -0.675) (end -0.5 -0.675) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.5 0.675) (end 0.5 0.675) (layer B.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers B.Cu B.Paste B.Mask) + (net 8 VUSB)) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers B.Cu B.Paste B.Mask) + (net 2 GND)) + (model Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D856B3) + (at 165.5 74.5 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /563244F7/56C590A7) + (attr smd) + (fp_text reference R211 (at 0 -1.5 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10k (at 0 1.9 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.3 0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.3 -0.8) (end -1.3 0.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.3 -0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 51 /powersupply/CHARGE_ENABLE)) + (model Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D856BF) + (at 86.007546 -31.589378) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /563244F7/56C1FB0E) + (attr smd) (fp_text reference R212 (at 0 -1.9) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) @@ -3644,9 +3605,9 @@ (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 9 VUSB)) + (net 1 VSYS)) (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 2 GND)) + (net 23 /powersupply/SYS_VOLTAGE)) (model Resistors_SMD.3dshapes/R_0603.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3654,11 +3615,11 @@ ) ) - (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D856B3) - (at 204.407546 76.620622) + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D856CB) + (at 65.167546 -8.499378) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") - (path /563244F7/56C590A7) + (path /563244F7/56C20160) (attr smd) (fp_text reference R213 (at 0 -1.9) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) @@ -3673,65 +3634,7 @@ (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 2 GND)) - (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 56 /powersupply/CHARGE_ENABLE)) - (model Resistors_SMD.3dshapes/R_0603.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D856BF) - (at 182.657546 98.860622) - (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") - (tags "resistor 0603") - (path /563244F7/56C1FB0E) - (attr smd) - (fp_text reference R214 (at 0 -1.9) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 10k (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.3 0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.3 -0.8) (end -1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.3 -0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) - (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 1 VSYS)) - (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 25 /powersupply/SYS_VOLTAGE)) - (model Resistors_SMD.3dshapes/R_0603.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D856CB) - (at 161.817546 121.950622) - (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") - (tags "resistor 0603") - (path /563244F7/56C20160) - (attr smd) - (fp_text reference R215 (at 0 -1.9) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 10k (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.3 0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.3 -0.8) (end -1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.3 -0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) - (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 25 /powersupply/SYS_VOLTAGE)) + (net 23 /powersupply/SYS_VOLTAGE)) (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (model Resistors_SMD.3dshapes/R_0603.wrl @@ -3742,7 +3645,7 @@ ) (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D856D7) - (at 84.147546 159.040622) + (at 101.497546 155.590622) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /56337D98/56CD0D17) @@ -3762,7 +3665,7 @@ (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 40 /FSMC/BOOT1)) + (net 35 /FSMC/BOOT1)) (model Resistors_SMD.3dshapes/R_0603.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3771,7 +3674,7 @@ ) (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D856E3) - (at 79.747546 159.040622) + (at 97.097546 155.590622) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /56337D98/56CD0F59) @@ -3791,7 +3694,7 @@ (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 39 /debug/BOOT0)) + (net 34 /debug/BOOT0)) (model Resistors_SMD.3dshapes/R_0603.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3800,7 +3703,7 @@ ) (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D856EF) - (at 97.867546 153.940622) + (at 115.217546 150.490622) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /56337D98/56CD0F97) @@ -3820,7 +3723,7 @@ (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 38 /debug/NRST)) + (net 33 /debug/NRST)) (model Resistors_SMD.3dshapes/R_0603.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3829,7 +3732,7 @@ ) (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D856FB) - (at 240.207546 92.140622) + (at 143.557546 -38.309378) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /56CA5D53/56CC26E6) @@ -3847,7 +3750,7 @@ (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 64 "Net-(R801-Pad1)")) + (net 59 "Net-(R801-Pad1)")) (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (model Resistors_SMD.3dshapes/R_0603.wrl @@ -3857,27 +3760,27 @@ ) ) - (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D85707) - (at 240.207546 86.240622) + (module Resistors_SMD:R_0603 (layer B.Cu) (tedit 5415CC62) (tstamp 56D85707) + (at 135.5 61 90) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /56CA5D53/56CB1669) (attr smd) - (fp_text reference R802 (at 0 -1.9) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference R802 (at 0 1.9 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_text value 10k (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value 10k (at 0 -1.9 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.3 0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.3 -0.8) (end -1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.3 -0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) - (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 13 /audio/AOUTL)) - (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (fp_line (start -1.3 0.8) (end 1.3 0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.3 0.8) (end -1.3 -0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.3 0.8) (end 1.3 -0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start 0.5 -0.675) (end -0.5 -0.675) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.5 0.675) (end 0.5 0.675) (layer B.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers B.Cu B.Paste B.Mask) + (net 12 /audio/AOUTL)) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers B.Cu B.Paste B.Mask) (net 2 GND)) (model Resistors_SMD.3dshapes/R_0603.wrl (at (xyz 0 0 0)) @@ -3886,28 +3789,28 @@ ) ) - (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D85713) - (at 224.587546 96.540622) + (module Resistors_SMD:R_0603 (layer B.Cu) (tedit 5415CC62) (tstamp 56D85713) + (at 135.5 58 90) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /56CA5D53/56CB16B1) (attr smd) - (fp_text reference R803 (at 0 -1.9) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference R803 (at 0 1.9 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_text value 10k (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value 10k (at 0 -1.9 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.3 0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.3 -0.8) (end -1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.3 -0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) - (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (fp_line (start -1.3 0.8) (end 1.3 0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.3 0.8) (end -1.3 -0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.3 0.8) (end 1.3 -0.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start 0.5 -0.675) (end -0.5 -0.675) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.5 0.675) (end 0.5 0.675) (layer B.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers B.Cu B.Paste B.Mask) (net 2 GND)) - (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 15 /audio/AOUTR)) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers B.Cu B.Paste B.Mask) + (net 14 /audio/AOUTR)) (model Resistors_SMD.3dshapes/R_0603.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3916,7 +3819,7 @@ ) (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D8571F) - (at 230.937546 76.110622) + (at 134.287546 -54.339378) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /56C86141/56C8FF13) @@ -3934,9 +3837,9 @@ (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 26 "Net-(D901-Pad2)")) + (net 24 "Net-(D901-Pad2)")) (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 65 /userif/RGBLED0)) + (net 60 /userif/RGBLED0)) (model Resistors_SMD.3dshapes/R_0603.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3945,7 +3848,7 @@ ) (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D8572B) - (at 222.137546 76.110622) + (at 125.487546 -54.339378) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /56C86141/56C90060) @@ -3963,9 +3866,9 @@ (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 28 "Net-(D901-Pad3)")) + (net 26 "Net-(D901-Pad3)")) (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 66 /userif/RGBLED1)) + (net 61 /userif/RGBLED1)) (model Resistors_SMD.3dshapes/R_0603.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3974,7 +3877,7 @@ ) (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 56D85737) - (at 226.537546 76.110622) + (at 129.887546 -54.339378) (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") (tags "resistor 0603") (path /56C86141/56C90098) @@ -3992,9 +3895,9 @@ (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 27 "Net-(D901-Pad4)")) + (net 25 "Net-(D901-Pad4)")) (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) - (net 67 /userif/RGBLED2)) + (net 62 /userif/RGBLED2)) (model Resistors_SMD.3dshapes/R_0603.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4003,7 +3906,7 @@ ) (module smd:Tactile_Switch (layer F.Cu) (tedit 56D83919) (tstamp 56D85744) - (at 244.683975 66.510623) + (at 29.25 79) (path /56C86141/56C875E6) (fp_text reference SW901 (at 0 4.1) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) @@ -4017,9 +3920,9 @@ (fp_line (start -3.1 3.1) (end 3.1 3.1) (layer F.SilkS) (width 0.15)) (fp_line (start -3.1 -3.1) (end -3.1 3.1) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -3.975 -2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) - (net 68 /userif/SW_LEFT)) + (net 63 /userif/SW_LEFT)) (pad 1 smd rect (at 3.975 -2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) - (net 68 /userif/SW_LEFT)) + (net 63 /userif/SW_LEFT)) (pad 2 smd rect (at -3.975 2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (pad 2 smd rect (at 3.975 2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) @@ -4027,12 +3930,12 @@ ) (module smd:Tactile_Switch (layer F.Cu) (tedit 56D83919) (tstamp 56D85751) - (at 250.333975 56.560623) + (at 35.75 72.5 180) (path /56C86141/56C87530) - (fp_text reference SW902 (at 0 4.1) (layer F.SilkS) + (fp_text reference SW902 (at 0 4.1 180) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value UP (at 0 5.6) (layer F.Fab) + (fp_text value UP (at 0 5.6 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_circle (center 0 0) (end 1.4 0.7) (layer F.SilkS) (width 0.15)) @@ -4040,18 +3943,18 @@ (fp_line (start 3.1 3.1) (end 3.1 -3.1) (layer F.SilkS) (width 0.15)) (fp_line (start -3.1 3.1) (end 3.1 3.1) (layer F.SilkS) (width 0.15)) (fp_line (start -3.1 -3.1) (end -3.1 3.1) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -3.975 -2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) - (net 69 /userif/SW_UP)) - (pad 1 smd rect (at 3.975 -2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) - (net 69 /userif/SW_UP)) - (pad 2 smd rect (at -3.975 2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at -3.975 -2.25 180) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) + (net 64 /userif/SW_UP)) + (pad 1 smd rect (at 3.975 -2.25 180) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) + (net 64 /userif/SW_UP)) + (pad 2 smd rect (at -3.975 2.25 180) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 2 smd rect (at 3.975 2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) + (pad 2 smd rect (at 3.975 2.25 180) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) ) (module smd:Tactile_Switch (layer F.Cu) (tedit 56D83919) (tstamp 56D8575E) - (at 234.783975 66.510623) + (at 35.75 85.5) (path /56C86141/56C87621) (fp_text reference SW903 (at 0 4.1) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) @@ -4065,9 +3968,9 @@ (fp_line (start -3.1 3.1) (end 3.1 3.1) (layer F.SilkS) (width 0.15)) (fp_line (start -3.1 -3.1) (end -3.1 3.1) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -3.975 -2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) - (net 70 /userif/SW_DOWN)) + (net 65 /userif/SW_DOWN)) (pad 1 smd rect (at 3.975 -2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) - (net 70 /userif/SW_DOWN)) + (net 65 /userif/SW_DOWN)) (pad 2 smd rect (at -3.975 2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (pad 2 smd rect (at 3.975 2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) @@ -4075,7 +3978,7 @@ ) (module smd:Tactile_Switch (layer F.Cu) (tedit 56D83919) (tstamp 56D8576B) - (at 240.433975 56.560623) + (at 42.25 79) (path /56C86141/56C875BA) (fp_text reference SW904 (at 0 4.1) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) @@ -4089,9 +3992,9 @@ (fp_line (start -3.1 3.1) (end 3.1 3.1) (layer F.SilkS) (width 0.15)) (fp_line (start -3.1 -3.1) (end -3.1 3.1) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -3.975 -2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) - (net 71 /userif/SW_RIGHT)) + (net 66 /userif/SW_RIGHT)) (pad 1 smd rect (at 3.975 -2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) - (net 71 /userif/SW_RIGHT)) + (net 66 /userif/SW_RIGHT)) (pad 2 smd rect (at -3.975 2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (pad 2 smd rect (at 3.975 2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) @@ -4099,7 +4002,7 @@ ) (module smd:Tactile_Switch (layer F.Cu) (tedit 56D83919) (tstamp 56D85778) - (at 224.883975 66.510623) + (at 307.75 132.25) (path /56C86141/56C88438) (fp_text reference SW905 (at 0 4.1) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) @@ -4113,9 +4016,9 @@ (fp_line (start -3.1 3.1) (end 3.1 3.1) (layer F.SilkS) (width 0.15)) (fp_line (start -3.1 -3.1) (end -3.1 3.1) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -3.975 -2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) - (net 72 /sdcard/SW_B)) + (net 67 /sdcard/SW_B)) (pad 1 smd rect (at 3.975 -2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) - (net 72 /sdcard/SW_B)) + (net 67 /sdcard/SW_B)) (pad 2 smd rect (at -3.975 2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (pad 2 smd rect (at 3.975 2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) @@ -4123,7 +4026,7 @@ ) (module smd:Tactile_Switch (layer F.Cu) (tedit 56D83919) (tstamp 56D85785) - (at 230.533975 56.560623) + (at 314.25 125.75) (path /56C86141/56C8842C) (fp_text reference SW906 (at 0 4.1) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) @@ -4137,9 +4040,9 @@ (fp_line (start -3.1 3.1) (end 3.1 3.1) (layer F.SilkS) (width 0.15)) (fp_line (start -3.1 -3.1) (end -3.1 3.1) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -3.975 -2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) - (net 73 /sdcard/SW_A)) + (net 68 /sdcard/SW_A)) (pad 1 smd rect (at 3.975 -2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) - (net 73 /sdcard/SW_A)) + (net 68 /sdcard/SW_A)) (pad 2 smd rect (at -3.975 2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (pad 2 smd rect (at 3.975 2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) @@ -4147,7 +4050,7 @@ ) (module smd:Tactile_Switch (layer F.Cu) (tedit 56D83919) (tstamp 56D85792) - (at 220.633975 56.560623) + (at 314.25 138.75) (path /56C86141/56C8843E) (fp_text reference SW907 (at 0 4.1) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) @@ -4161,9 +4064,9 @@ (fp_line (start -3.1 3.1) (end 3.1 3.1) (layer F.SilkS) (width 0.15)) (fp_line (start -3.1 -3.1) (end -3.1 3.1) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -3.975 -2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) - (net 74 /sdcard/SW_C)) + (net 69 /sdcard/SW_C)) (pad 1 smd rect (at 3.975 -2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) - (net 74 /sdcard/SW_C)) + (net 69 /sdcard/SW_C)) (pad 2 smd rect (at -3.975 2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (pad 2 smd rect (at 3.975 2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) @@ -4171,7 +4074,7 @@ ) (module smd:Tactile_Switch (layer F.Cu) (tedit 56D83919) (tstamp 56D8579F) - (at 214.983975 69.340623) + (at 320.75 132.25) (path /56C86141/56C88432) (fp_text reference SW908 (at 0 4.1) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) @@ -4185,9 +4088,9 @@ (fp_line (start -3.1 3.1) (end 3.1 3.1) (layer F.SilkS) (width 0.15)) (fp_line (start -3.1 -3.1) (end -3.1 3.1) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -3.975 -2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) - (net 75 /sdcard/SW_D)) + (net 70 /sdcard/SW_D)) (pad 1 smd rect (at 3.975 -2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) - (net 75 /sdcard/SW_D)) + (net 70 /sdcard/SW_D)) (pad 2 smd rect (at -3.975 2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (pad 2 smd rect (at 3.975 2.25) (size 1.55 1.3) (layers F.Cu F.Paste F.Mask) @@ -4195,7 +4098,7 @@ ) (module smd:TSOP-54 (layer F.Cu) (tedit 56C6D015) (tstamp 56D857DE) - (at 65.278975 128.555622) + (at 100.2 65.5) (path /563244F7/56C702B2) (fp_text reference U201 (at -1.9 -6.5 90) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) @@ -4211,123 +4114,123 @@ (pad 1 smd rect (at 0 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) (pad 2 smd rect (at 0.8 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 76 /sdram/DQ0)) + (net 71 /sdram/DQ0)) (pad 3 smd rect (at 1.6 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (pad 4 smd rect (at 2.4 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 77 /sdram/DQ1)) + (net 72 /sdram/DQ1)) (pad 5 smd rect (at 3.2 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 78 /sdram/DQ2)) + (net 73 /sdram/DQ2)) (pad 6 smd rect (at 4 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (pad 7 smd rect (at 4.8 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 79 /sdram/DQ3)) + (net 107 /sdram/DQ12)) (pad 8 smd rect (at 5.6 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 80 /sdram/DQ4)) + (net 106 /sdram/DQ11)) (pad 9 smd rect (at 6.4 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (pad 10 smd rect (at 7.2 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 81 /sdram/DQ5)) + (net 105 /sdram/DQ10)) (pad 11 smd rect (at 8 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 82 /sdram/DQ6)) + (net 76 /sdram/DQ5)) (pad 12 smd rect (at 8.8 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (pad 13 smd rect (at 9.6 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 83 /sdram/DQ7)) + (net 103 /sdram/DQ8)) (pad 14 smd rect (at 10.4 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) (pad 15 smd rect (at 11.2 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 84 /sdram/DQML)) + (net 79 /sdram/DQML)) (pad 16 smd rect (at 12 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 85 /sdram/WE)) + (net 80 /sdram/WE)) (pad 17 smd rect (at 12.8 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 86 /sdram/CAS)) + (net 81 /sdram/CAS)) (pad 18 smd rect (at 13.6 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 87 /sdram/RAS)) + (net 82 /sdram/RAS)) (pad 19 smd rect (at 14.4 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 88 /sdram/CS)) + (net 83 /sdram/CS)) (pad 20 smd rect (at 15.2 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 89 /sdram/BA0)) + (net 84 /sdram/BA0)) (pad 21 smd rect (at 16 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 90 /sdram/BA1)) + (net 85 /sdram/BA1)) (pad 22 smd rect (at 16.8 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 91 /sdram/A10)) + (net 86 /sdram/A10)) (pad 23 smd rect (at 17.6 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 92 /sdram/A0)) + (net 87 /sdram/A0)) (pad 24 smd rect (at 18.4 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 93 /sdram/A1)) + (net 88 /sdram/A1)) (pad 25 smd rect (at 19.2 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 94 /sdram/A2)) + (net 89 /sdram/A2)) (pad 26 smd rect (at 20 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 95 /sdram/A3)) + (net 90 /sdram/A3)) (pad 27 smd rect (at 20.8 0) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) (pad 28 smd rect (at 20.8 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) (pad 29 smd rect (at 20 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 96 /sdram/A4)) + (net 91 /sdram/A4)) (pad 30 smd rect (at 19.2 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 97 /sdram/A5)) + (net 92 /sdram/A5)) (pad 31 smd rect (at 18.4 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 98 /sdram/A6)) + (net 93 /sdram/A6)) (pad 32 smd rect (at 17.6 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 99 /sdram/A7)) + (net 94 /sdram/A7)) (pad 33 smd rect (at 16.8 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 100 /sdram/A8)) + (net 95 /sdram/A8)) (pad 34 smd rect (at 16 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 101 /sdram/A9)) + (net 96 /sdram/A9)) (pad 35 smd rect (at 15.2 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 102 /sdram/A11)) + (net 97 /sdram/A11)) (pad 36 smd rect (at 14.4 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 103 "Net-(U201-Pad36)")) + (net 98 "Net-(U201-Pad36)")) (pad 37 smd rect (at 13.6 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 104 /sdram/CKE)) + (net 99 /sdram/CKE)) (pad 38 smd rect (at 12.8 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 105 /sdram/CLK)) + (net 100 /sdram/CLK)) (pad 39 smd rect (at 12 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 106 /sdram/DQMH)) + (net 101 /sdram/DQMH)) (pad 40 smd rect (at 11.2 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 107 "Net-(U201-Pad40)")) + (net 102 "Net-(U201-Pad40)")) (pad 41 smd rect (at 10.4 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) (pad 42 smd rect (at 9.6 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 108 /sdram/DQ8)) + (net 78 /sdram/DQ7)) (pad 43 smd rect (at 8.8 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (pad 44 smd rect (at 8 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 109 /sdram/DQ9)) + (net 77 /sdram/DQ6)) (pad 45 smd rect (at 7.2 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 110 /sdram/DQ10)) + (net 104 /sdram/DQ9)) (pad 46 smd rect (at 6.4 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (pad 47 smd rect (at 5.6 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 111 /sdram/DQ11)) + (net 75 /sdram/DQ4)) (pad 48 smd rect (at 4.8 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 112 /sdram/DQ12)) + (net 74 /sdram/DQ3)) (pad 49 smd rect (at 4 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (pad 50 smd rect (at 3.2 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 113 /sdram/DQ13)) + (net 108 /sdram/DQ13)) (pad 51 smd rect (at 2.4 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 114 /sdram/DQ14)) + (net 109 /sdram/DQ14)) (pad 52 smd rect (at 1.6 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (pad 53 smd rect (at 0.8 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) - (net 115 /sdram/DQ15)) + (net 110 /sdram/DQ15)) (pad 54 smd rect (at 0 -12) (size 0.55 1.5) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) ) (module footprints:LQFP-100_14x14mm_Pitch0.5mm-SMALLCORNER (layer F.Cu) (tedit 56C73E19) (tstamp 56D85853) - (at 166.928976 91.010622) + (at 113.5 100.9 180) (descr "LQFP100: plastic low profile quad flat package; 100 leads; body 14 x 14 x 1.4 mm (see NXP sot407-1_po.pdf and sot407-1_fr.pdf)") (tags "QFP 0.5") (path /563244F7/56C476C3) (attr smd) - (fp_text reference U202 (at 0 -9.65) (layer F.SilkS) + (fp_text reference U202 (at 0 -9.65 180) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value STM32F407VGT (at 0 9.65) (layer F.Fab) + (fp_text value STM32F407VGT (at 0 9.65 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -8.9 -8.9) (end -8.9 8.9) (layer F.CrtYd) (width 0.05)) @@ -4343,205 +4246,205 @@ (fp_line (start 7.125 7.125) (end 6.365 7.125) (layer F.SilkS) (width 0.15)) (fp_line (start 7.125 -7.125) (end 6.365 -7.125) (layer F.SilkS) (width 0.15)) (fp_line (start -7.125 -6.365) (end -8.65 -6.365) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -7.95 -6) (size 1.4 0.28) (layers F.Cu F.Paste F.Mask) - (net 116 /FSMC/A23)) - (pad 2 smd rect (at -7.9 -5.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 117 /FSMC/A19)) - (pad 3 smd rect (at -7.9 -5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 118 /FSMC/A20)) - (pad 4 smd rect (at -7.9 -4.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 119 /FSMC/A21)) - (pad 5 smd rect (at -7.9 -4) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 120 /FSMC/A22)) - (pad 6 smd rect (at -7.9 -3.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at -7.95 -6 180) (size 1.4 0.28) (layers F.Cu F.Paste F.Mask) + (net 111 /FSMC/A23)) + (pad 2 smd rect (at -7.9 -5.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 112 /FSMC/A19)) + (pad 3 smd rect (at -7.9 -5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 113 /FSMC/A20)) + (pad 4 smd rect (at -7.9 -4.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 114 /FSMC/A21)) + (pad 5 smd rect (at -7.9 -4 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 115 /FSMC/A22)) + (pad 6 smd rect (at -7.9 -3.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) - (pad 7 smd rect (at -7.9 -3) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 121 "Net-(U202-Pad7)")) - (pad 8 smd rect (at -7.9 -2.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 122 "Net-(U202-Pad8)")) - (pad 9 smd rect (at -7.9 -2) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 123 "Net-(U202-Pad9)")) - (pad 10 smd rect (at -7.9 -1.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (pad 7 smd rect (at -7.9 -3 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 116 "Net-(U202-Pad7)")) + (pad 8 smd rect (at -7.9 -2.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 117 "Net-(U202-Pad8)")) + (pad 9 smd rect (at -7.9 -2 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 118 "Net-(U202-Pad9)")) + (pad 10 smd rect (at -7.9 -1.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 11 smd rect (at -7.9 -1) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (pad 11 smd rect (at -7.9 -1 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) - (pad 12 smd rect (at -7.9 -0.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 10 /debug/OSCIN)) - (pad 13 smd rect (at -7.9 0) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 11 /debug/OSCOUT)) - (pad 14 smd rect (at -7.9 0.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 38 /debug/NRST)) - (pad 15 smd rect (at -7.9 1) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 74 /sdcard/SW_C)) - (pad 16 smd rect (at -7.9 1.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 75 /sdcard/SW_D)) - (pad 17 smd rect (at -7.9 2) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 73 /sdcard/SW_A)) - (pad 18 smd rect (at -7.9 2.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 72 /sdcard/SW_B)) - (pad 19 smd rect (at -7.9 3) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (pad 12 smd rect (at -7.9 -0.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 9 /debug/OSCIN)) + (pad 13 smd rect (at -7.9 0 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 10 /debug/OSCOUT)) + (pad 14 smd rect (at -7.9 0.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 33 /debug/NRST)) + (pad 15 smd rect (at -7.9 1 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 69 /sdcard/SW_C)) + (pad 16 smd rect (at -7.9 1.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 70 /sdcard/SW_D)) + (pad 17 smd rect (at -7.9 2 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 68 /sdcard/SW_A)) + (pad 18 smd rect (at -7.9 2.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 67 /sdcard/SW_B)) + (pad 19 smd rect (at -7.9 3 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) - (pad 20 smd rect (at -7.9 3.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (pad 20 smd rect (at -7.9 3.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 21 smd rect (at -7.9 4) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (pad 21 smd rect (at -7.9 4 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) - (pad 22 smd rect (at -7.9 4.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (pad 22 smd rect (at -7.9 4.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) - (pad 23 smd rect (at -7.9 5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 65 /userif/RGBLED0)) - (pad 24 smd rect (at -7.9 5.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 66 /userif/RGBLED1)) - (pad 25 smd rect (at -7.95 6) (size 1.4 0.28) (layers F.Cu F.Paste F.Mask) - (net 67 /userif/RGBLED2)) - (pad 26 smd rect (at -6 7.95 90) (size 1.4 0.28) (layers F.Cu F.Paste F.Mask) - (net 25 /powersupply/SYS_VOLTAGE)) - (pad 27 smd rect (at -5.5 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (pad 23 smd rect (at -7.9 5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 60 /userif/RGBLED0)) + (pad 24 smd rect (at -7.9 5.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 61 /userif/RGBLED1)) + (pad 25 smd rect (at -7.95 6 180) (size 1.4 0.28) (layers F.Cu F.Paste F.Mask) + (net 62 /userif/RGBLED2)) + (pad 26 smd rect (at -6 7.95 270) (size 1.4 0.28) (layers F.Cu F.Paste F.Mask) + (net 23 /powersupply/SYS_VOLTAGE)) + (pad 27 smd rect (at -5.5 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 28 smd rect (at -5 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (pad 28 smd rect (at -5 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) - (pad 29 smd rect (at -4.5 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 62 /powersupply/USB_PRESENT_N)) - (pad 30 smd rect (at -4 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 61 /powersupply/CHG_ACTIVE_N)) - (pad 31 smd rect (at -3.5 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 53 /powersupply/FPGA_ENABLE_N)) - (pad 32 smd rect (at -3 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 56 /powersupply/CHARGE_ENABLE)) - (pad 33 smd rect (at -2.5 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 124 /sdcard/OSC_EN)) - (pad 34 smd rect (at -2 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 125 "Net-(U202-Pad34)")) - (pad 35 smd rect (at -1.5 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 126 "Net-(U202-Pad35)")) - (pad 36 smd rect (at -1 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 127 "Net-(U202-Pad36)")) - (pad 37 smd rect (at -0.5 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 40 /FSMC/BOOT1)) - (pad 38 smd rect (at 0 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 128 /FSMC/DA4)) - (pad 39 smd rect (at 0.5 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 129 /FSMC/DA5)) - (pad 40 smd rect (at 1 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 130 /FSMC/DA6)) - (pad 41 smd rect (at 1.5 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 131 /FSMC/DA7)) - (pad 42 smd rect (at 2 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 132 /FSMC/DA8)) - (pad 43 smd rect (at 2.5 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 133 /FSMC/DA9)) - (pad 44 smd rect (at 3 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 134 /FSMC/DA10)) - (pad 45 smd rect (at 3.5 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 135 /FSMC/DA11)) - (pad 46 smd rect (at 4 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 136 /FSMC/DA12)) - (pad 47 smd rect (at 4.5 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 137 "Net-(U202-Pad47)")) - (pad 48 smd rect (at 5 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 138 "Net-(U202-Pad48)")) - (pad 49 smd rect (at 5.5 7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (pad 29 smd rect (at -4.5 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 57 /powersupply/USB_PRESENT_N)) + (pad 30 smd rect (at -4 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 56 /powersupply/CHG_ACTIVE_N)) + (pad 31 smd rect (at -3.5 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 48 /powersupply/FPGA_ENABLE_N)) + (pad 32 smd rect (at -3 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 51 /powersupply/CHARGE_ENABLE)) + (pad 33 smd rect (at -2.5 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 119 /sdcard/OSC_EN)) + (pad 34 smd rect (at -2 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 120 "Net-(U202-Pad34)")) + (pad 35 smd rect (at -1.5 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 121 "Net-(U202-Pad35)")) + (pad 36 smd rect (at -1 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 122 "Net-(U202-Pad36)")) + (pad 37 smd rect (at -0.5 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 35 /FSMC/BOOT1)) + (pad 38 smd rect (at 0 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 123 /FSMC/DA4)) + (pad 39 smd rect (at 0.5 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 124 /FSMC/DA5)) + (pad 40 smd rect (at 1 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 125 /FSMC/DA6)) + (pad 41 smd rect (at 1.5 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 126 /FSMC/DA7)) + (pad 42 smd rect (at 2 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 127 /FSMC/DA8)) + (pad 43 smd rect (at 2.5 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 128 /FSMC/DA9)) + (pad 44 smd rect (at 3 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 129 /FSMC/DA10)) + (pad 45 smd rect (at 3.5 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 130 /FSMC/DA11)) + (pad 46 smd rect (at 4 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 131 /FSMC/DA12)) + (pad 47 smd rect (at 4.5 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 132 "Net-(U202-Pad47)")) + (pad 48 smd rect (at 5 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 133 "Net-(U202-Pad48)")) + (pad 49 smd rect (at 5.5 7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) (net 4 /powersupply/VCAP1)) - (pad 50 smd rect (at 6 7.95 90) (size 1.4 0.28) (layers F.Cu F.Paste F.Mask) + (pad 50 smd rect (at 6 7.95 270) (size 1.4 0.28) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) - (pad 51 smd rect (at 7.95 6) (size 1.4 0.28) (layers F.Cu F.Paste F.Mask) - (net 139 /FSMC/T_CS)) - (pad 52 smd rect (at 7.9 5.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 140 /FSMC/T_CLK)) - (pad 53 smd rect (at 7.9 5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 141 /FSMC/T_DOUT)) - (pad 54 smd rect (at 7.9 4.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 142 /FSMC/T_DIN)) - (pad 55 smd rect (at 7.9 4) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 143 /FSMC/DA13)) - (pad 56 smd rect (at 7.9 3.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 144 /FSMC/DA14)) - (pad 57 smd rect (at 7.9 3) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 145 /FSMC/DA15)) - (pad 58 smd rect (at 7.9 2.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 146 /FSMC/A16)) - (pad 59 smd rect (at 7.9 2) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 147 /FSMC/A17)) - (pad 60 smd rect (at 7.9 1.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 148 /FSMC/A18)) - (pad 61 smd rect (at 7.9 1) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 149 /FSMC/DA0)) - (pad 62 smd rect (at 7.9 0.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 150 /FSMC/DA1)) - (pad 63 smd rect (at 7.9 0) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 151 /display/T_BUSY)) - (pad 64 smd rect (at 7.9 -0.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 152 /display/T_IRQ)) - (pad 65 smd rect (at 7.9 -1) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 21 /sdcard/SDIO_D0)) - (pad 66 smd rect (at 7.9 -1.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 22 /sdcard/SDIO_D1)) - (pad 67 smd rect (at 7.9 -2) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 69 /userif/SW_UP)) - (pad 68 smd rect (at 7.9 -2.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 71 /userif/SW_RIGHT)) - (pad 69 smd rect (at 7.9 -3) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 68 /userif/SW_LEFT)) - (pad 70 smd rect (at 7.9 -3.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 70 /userif/SW_DOWN)) - (pad 71 smd rect (at 7.9 -4) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 23 /sdcard/SDCARD_DETECT)) - (pad 72 smd rect (at 7.9 -4.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 49 /debug/JTMS)) - (pad 73 smd rect (at 7.9 -5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (pad 51 smd rect (at 7.95 6 180) (size 1.4 0.28) (layers F.Cu F.Paste F.Mask) + (net 134 /FSMC/T_CS)) + (pad 52 smd rect (at 7.9 5.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 135 /FSMC/T_CLK)) + (pad 53 smd rect (at 7.9 5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 136 /FSMC/T_DOUT)) + (pad 54 smd rect (at 7.9 4.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 137 /FSMC/T_DIN)) + (pad 55 smd rect (at 7.9 4 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 138 /FSMC/DA13)) + (pad 56 smd rect (at 7.9 3.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 139 /FSMC/DA14)) + (pad 57 smd rect (at 7.9 3 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 140 /FSMC/DA15)) + (pad 58 smd rect (at 7.9 2.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 141 /FSMC/A16)) + (pad 59 smd rect (at 7.9 2 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 142 /FSMC/A17)) + (pad 60 smd rect (at 7.9 1.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 143 /FSMC/A18)) + (pad 61 smd rect (at 7.9 1 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 144 /FSMC/DA0)) + (pad 62 smd rect (at 7.9 0.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 145 /FSMC/DA1)) + (pad 63 smd rect (at 7.9 0 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 146 /display/T_BUSY)) + (pad 64 smd rect (at 7.9 -0.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 147 /display/T_IRQ)) + (pad 65 smd rect (at 7.9 -1 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 20 /sdcard/SDIO_D0)) + (pad 66 smd rect (at 7.9 -1.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 21 /sdcard/SDIO_D1)) + (pad 67 smd rect (at 7.9 -2 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 64 /userif/SW_UP)) + (pad 68 smd rect (at 7.9 -2.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 66 /userif/SW_RIGHT)) + (pad 69 smd rect (at 7.9 -3 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 63 /userif/SW_LEFT)) + (pad 70 smd rect (at 7.9 -3.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 65 /userif/SW_DOWN)) + (pad 71 smd rect (at 7.9 -4 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 22 /sdcard/SDCARD_DETECT)) + (pad 72 smd rect (at 7.9 -4.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 44 /debug/JTMS)) + (pad 73 smd rect (at 7.9 -5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) (net 5 /powersupply/VCAP2)) - (pad 74 smd rect (at 7.9 -5.5) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (pad 74 smd rect (at 7.9 -5.5 180) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 75 smd rect (at 7.95 -6) (size 1.4 0.28) (layers F.Cu F.Paste F.Mask) + (pad 75 smd rect (at 7.95 -6 180) (size 1.4 0.28) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) - (pad 76 smd rect (at 6 -7.95 90) (size 1.4 0.28) (layers F.Cu F.Paste F.Mask) - (net 48 /debug/JTCK)) - (pad 77 smd rect (at 5.5 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 50 /debug/JTDI)) - (pad 78 smd rect (at 5 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 19 /sdcard/SDIO_D2)) - (pad 79 smd rect (at 4.5 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 18 /sdcard/SDIO_D3)) - (pad 80 smd rect (at 4 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 20 /sdcard/SDIO_CLK)) - (pad 81 smd rect (at 3.5 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 153 /FSMC/DA2)) - (pad 82 smd rect (at 3 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 154 /FSMC/DA3)) - (pad 83 smd rect (at 2.5 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 17 /FSMC/SDIO_CMD)) - (pad 84 smd rect (at 2 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 155 /FSMC/CLK)) - (pad 85 smd rect (at 1.5 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 156 /FSMC/OE_N)) - (pad 86 smd rect (at 1 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 157 /FSMC/WE_N)) - (pad 87 smd rect (at 0.5 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 158 /FSMC/WAIT_N)) - (pad 88 smd rect (at 0 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 159 /FSMC/E1_N)) - (pad 89 smd rect (at -0.5 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 51 /FSMC/JTDO)) - (pad 90 smd rect (at -1 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 52 /FSMC/JRST_N)) - (pad 91 smd rect (at -1.5 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 160 "Net-(U202-Pad91)")) - (pad 92 smd rect (at -2 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 161 "Net-(U202-Pad92)")) - (pad 93 smd rect (at -2.5 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 162 /FSMC/ADV_N)) - (pad 94 smd rect (at -3 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 39 /debug/BOOT0)) - (pad 95 smd rect (at -3.5 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 163 "Net-(U202-Pad95)")) - (pad 96 smd rect (at -4 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 164 "Net-(U202-Pad96)")) - (pad 97 smd rect (at -4.5 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 165 /FSMC/BL0_N)) - (pad 98 smd rect (at -5 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) - (net 166 /FSMC/BL1_N)) - (pad 99 smd rect (at -5.5 -7.9 90) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (pad 76 smd rect (at 6 -7.95 270) (size 1.4 0.28) (layers F.Cu F.Paste F.Mask) + (net 43 /debug/JTCK)) + (pad 77 smd rect (at 5.5 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 45 /debug/JTDI)) + (pad 78 smd rect (at 5 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 18 /sdcard/SDIO_D2)) + (pad 79 smd rect (at 4.5 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 17 /sdcard/SDIO_D3)) + (pad 80 smd rect (at 4 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 19 /sdcard/SDIO_CLK)) + (pad 81 smd rect (at 3.5 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 148 /FSMC/DA2)) + (pad 82 smd rect (at 3 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 149 /FSMC/DA3)) + (pad 83 smd rect (at 2.5 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 16 /FSMC/SDIO_CMD)) + (pad 84 smd rect (at 2 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 150 /FSMC/CLK)) + (pad 85 smd rect (at 1.5 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 151 /FSMC/OE_N)) + (pad 86 smd rect (at 1 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 152 /FSMC/WE_N)) + (pad 87 smd rect (at 0.5 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 153 /FSMC/WAIT_N)) + (pad 88 smd rect (at 0 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 154 /FSMC/E1_N)) + (pad 89 smd rect (at -0.5 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 46 /FSMC/JTDO)) + (pad 90 smd rect (at -1 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 47 /FSMC/JRST_N)) + (pad 91 smd rect (at -1.5 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 155 "Net-(U202-Pad91)")) + (pad 92 smd rect (at -2 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 156 "Net-(U202-Pad92)")) + (pad 93 smd rect (at -2.5 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 157 /FSMC/ADV_N)) + (pad 94 smd rect (at -3 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 34 /debug/BOOT0)) + (pad 95 smd rect (at -3.5 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 158 "Net-(U202-Pad95)")) + (pad 96 smd rect (at -4 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 159 "Net-(U202-Pad96)")) + (pad 97 smd rect (at -4.5 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 160 /FSMC/BL0_N)) + (pad 98 smd rect (at -5 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) + (net 161 /FSMC/BL1_N)) + (pad 99 smd rect (at -5.5 -7.9 270) (size 1.5 0.28) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 100 smd rect (at -6 -7.95 90) (size 1.4 0.28) (layers F.Cu F.Paste F.Mask) + (pad 100 smd rect (at -6 -7.95 270) (size 1.4 0.28) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) (model Housings_QFP.3dshapes/LQFP-100_14x14mm_Pitch0.5mm.wrl (at (xyz 0 0 0)) @@ -4551,15 +4454,15 @@ ) (module TO_SOT_Packages_SMD:TO-263-5Lead (layer F.Cu) (tedit 55D39254) (tstamp 56D85865) - (at 89.828976 121.480623) + (at 196 84.2) (descr "D2PAK / TO-263 3-lead smd package") (tags "D2PAK D2PAK-3 TO-263AB TO-263") (path /563244F7/56C35664) (attr smd) - (fp_text reference U203 (at 3.5 0 90) (layer F.SilkS) + (fp_text reference U203 (at 9 -6.4 180) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value LM2596/33 (at 15.25 -0.25 90) (layer F.Fab) + (fp_text value LM2596/33 (at 9 0.3 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start 14.1 5.65) (end -2.55 5.65) (layer F.CrtYd) (width 0.05)) @@ -4575,7 +4478,7 @@ (pad 4 smd rect (at 0 1.7) (size 4.6 1.1) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) (pad 2 smd rect (at 0 -1.7) (size 4.6 1.1) (layers F.Cu F.Paste F.Mask) - (net 24 "Net-(D201-Pad1)")) + (net 205 /powersupply/SSout)) (pad 3 smd rect (at 9.15 0) (size 9.4 10.8) (layers F.Cu F.Paste F.Mask) (net 2 GND)) (pad 3 smd rect (at 0 0) (size 4.6 1.1) (layers F.Cu F.Paste F.Mask) @@ -4590,12 +4493,12 @@ ) (module footprints:LD1117-SOT-223 (layer F.Cu) (tedit 56D837A3) (tstamp 56D85875) - (at 111.948023 120.452623) + (at 132.7 99.8) (descr "module CMS SOT223 4 pins") (tags "CMS SOT") (path /563244F7/5632574B) (attr smd) - (fp_text reference U204 (at 0 -0.762) (layer F.SilkS) + (fp_text reference U204 (at -0.2 0) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value LD1117S12CTR (at 0 0.762) (layer F.Fab) @@ -4610,9 +4513,9 @@ (fp_line (start 2.032 -4.572) (end 3.556 -2.286) (layer F.SilkS) (width 0.15)) (fp_line (start 3.556 -2.286) (end 3.556 -1.524) (layer F.SilkS) (width 0.15)) (pad 2 smd rect (at 0 -3.302) (size 3.6576 2.032) (layers F.Cu F.Paste F.Mask) - (net 8 "Net-(C229-Pad1)")) + (net 204 /powersupply/LSout)) (pad 2 smd rect (at 0 3.302) (size 1.016 2.032) (layers F.Cu F.Paste F.Mask) - (net 8 "Net-(C229-Pad1)")) + (net 204 /powersupply/LSout)) (pad 3 smd rect (at 2.286 3.302) (size 1.016 2.032) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) (pad 1 smd rect (at -2.286 3.302) (size 1.016 2.032) (layers F.Cu F.Paste F.Mask) @@ -4625,15 +4528,15 @@ ) (module Housings_QFP:LQFP-144_20x20mm_Pitch0.5mm (layer F.Cu) (tedit 54130A77) (tstamp 56D85916) - (at 169.778976 66.785622) + (at 108.75 79.25 180) (descr "144-Lead Plastic Low Profile Quad Flatpack (PL) - 20x20x1.40 mm Body [LQFP], 2.00 mm Footprint (see Microchip Packaging Specification 00000049BS.pdf)") (tags "QFP 0.5") (path /563244F7/56323459) (attr smd) - (fp_text reference U205 (at 0 -12.475) (layer F.SilkS) + (fp_text reference U205 (at 0 -12.475 180) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value LFXP2-5E-6TN144C (at 0 12.475) (layer F.Fab) + (fp_text value LFXP2-5E-6TN144C (at 0 12.475 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -11.75 -11.75) (end -11.75 11.75) (layer F.CrtYd) (width 0.05)) @@ -4649,294 +4552,294 @@ (fp_line (start 10.175 10.175) (end 9.125 10.175) (layer F.SilkS) (width 0.15)) (fp_line (start 10.175 -10.175) (end 9.125 -10.175) (layer F.SilkS) (width 0.15)) (fp_line (start -10.175 -9.125) (end -11.475 -9.125) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -10.7 -8.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 165 /FSMC/BL0_N)) - (pad 2 smd rect (at -10.7 -8.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 166 /FSMC/BL1_N)) - (pad 3 smd rect (at -10.7 -7.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at -10.7 -8.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 160 /FSMC/BL0_N)) + (pad 2 smd rect (at -10.7 -8.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 161 /FSMC/BL1_N)) + (pad 3 smd rect (at -10.7 -7.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 4 smd rect (at -10.7 -7.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 4 smd rect (at -10.7 -7.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 5 smd rect (at -10.7 -6.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 116 /FSMC/A23)) - (pad 6 smd rect (at -10.7 -6.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 117 /FSMC/A19)) - (pad 7 smd rect (at -10.7 -5.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 118 /FSMC/A20)) - (pad 8 smd rect (at -10.7 -5.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 119 /FSMC/A21)) - (pad 9 smd rect (at -10.7 -4.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 120 /FSMC/A22)) - (pad 10 smd rect (at -10.7 -4.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 167 /audio/L3MODE)) - (pad 11 smd rect (at -10.7 -3.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 168 /audio/ADATA)) - (pad 12 smd rect (at -10.7 -3.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 5 smd rect (at -10.7 -6.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 111 /FSMC/A23)) + (pad 6 smd rect (at -10.7 -6.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 112 /FSMC/A19)) + (pad 7 smd rect (at -10.7 -5.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 113 /FSMC/A20)) + (pad 8 smd rect (at -10.7 -5.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 114 /FSMC/A21)) + (pad 9 smd rect (at -10.7 -4.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 115 /FSMC/A22)) + (pad 10 smd rect (at -10.7 -4.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 162 /audio/L3MODE)) + (pad 11 smd rect (at -10.7 -3.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 164 /audio/L3DATA)) + (pad 12 smd rect (at -10.7 -3.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 13 smd rect (at -10.7 -2.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 169 /audio/L3DATA)) - (pad 14 smd rect (at -10.7 -2.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 13 smd rect (at -10.7 -2.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 165 /audio/ACLK)) + (pad 14 smd rect (at -10.7 -2.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 15 smd rect (at -10.7 -1.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 170 /audio/ACLK)) - (pad 16 smd rect (at -10.7 -1.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 171 /sdram/CLK_50M)) - (pad 17 smd rect (at -10.7 -0.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 172 /audio/AWS)) - (pad 18 smd rect (at -10.7 -0.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 173 /audio/ABCK)) - (pad 19 smd rect (at -10.7 0.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 96 /sdram/A4)) - (pad 20 smd rect (at -10.7 0.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 97 /sdram/A5)) - (pad 21 smd rect (at -10.7 1.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 98 /sdram/A6)) - (pad 22 smd rect (at -10.7 1.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 99 /sdram/A7)) - (pad 23 smd rect (at -10.7 2.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 15 smd rect (at -10.7 -1.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 163 /audio/ADATA)) + (pad 16 smd rect (at -10.7 -1.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 166 /sdram/CLK_50M)) + (pad 17 smd rect (at -10.7 -0.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 167 /audio/AWS)) + (pad 18 smd rect (at -10.7 -0.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 168 /audio/ABCK)) + (pad 19 smd rect (at -10.7 0.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 91 /sdram/A4)) + (pad 20 smd rect (at -10.7 0.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 92 /sdram/A5)) + (pad 21 smd rect (at -10.7 1.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 93 /sdram/A6)) + (pad 22 smd rect (at -10.7 1.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 94 /sdram/A7)) + (pad 23 smd rect (at -10.7 2.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 24 smd rect (at -10.7 2.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 24 smd rect (at -10.7 2.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 7 +1V2)) - (pad 25 smd rect (at -10.7 3.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 59 /powersupply/TOE)) - (pad 26 smd rect (at -10.7 3.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 60 /powersupply/CFG0)) - (pad 27 smd rect (at -10.7 4.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 100 /sdram/A8)) - (pad 28 smd rect (at -10.7 4.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 101 /sdram/A9)) - (pad 29 smd rect (at -10.7 5.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 102 /sdram/A11)) - (pad 30 smd rect (at -10.7 5.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 95 /sdram/A3)) - (pad 31 smd rect (at -10.7 6.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 94 /sdram/A2)) - (pad 32 smd rect (at -10.7 6.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 93 /sdram/A1)) - (pad 33 smd rect (at -10.7 7.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 25 smd rect (at -10.7 3.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 54 /powersupply/TOE)) + (pad 26 smd rect (at -10.7 3.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 55 /powersupply/CFG0)) + (pad 27 smd rect (at -10.7 4.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 95 /sdram/A8)) + (pad 28 smd rect (at -10.7 4.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 96 /sdram/A9)) + (pad 29 smd rect (at -10.7 5.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 97 /sdram/A11)) + (pad 30 smd rect (at -10.7 5.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 90 /sdram/A3)) + (pad 31 smd rect (at -10.7 6.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 89 /sdram/A2)) + (pad 32 smd rect (at -10.7 6.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 88 /sdram/A1)) + (pad 33 smd rect (at -10.7 7.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 34 smd rect (at -10.7 7.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 34 smd rect (at -10.7 7.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 35 smd rect (at -10.7 8.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 91 /sdram/A10)) - (pad 36 smd rect (at -10.7 8.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 92 /sdram/A0)) - (pad 37 smd rect (at -8.75 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 90 /sdram/BA1)) - (pad 38 smd rect (at -8.25 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 89 /sdram/BA0)) - (pad 39 smd rect (at -7.75 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 88 /sdram/CS)) - (pad 40 smd rect (at -7.25 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 87 /sdram/RAS)) - (pad 41 smd rect (at -6.75 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 35 smd rect (at -10.7 8.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 86 /sdram/A10)) + (pad 36 smd rect (at -10.7 8.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 87 /sdram/A0)) + (pad 37 smd rect (at -8.75 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 85 /sdram/BA1)) + (pad 38 smd rect (at -8.25 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 84 /sdram/BA0)) + (pad 39 smd rect (at -7.75 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 83 /sdram/CS)) + (pad 40 smd rect (at -7.25 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 99 /sdram/CKE)) + (pad 41 smd rect (at -6.75 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 42 smd rect (at -6.25 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 42 smd rect (at -6.25 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 43 smd rect (at -5.75 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 104 /sdram/CKE)) - (pad 44 smd rect (at -5.25 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 86 /sdram/CAS)) - (pad 45 smd rect (at -4.75 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 105 /sdram/CLK)) - (pad 46 smd rect (at -4.25 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 85 /sdram/WE)) - (pad 47 smd rect (at -3.75 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 106 /sdram/DQMH)) - (pad 48 smd rect (at -3.25 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 84 /sdram/DQML)) - (pad 49 smd rect (at -2.75 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 43 smd rect (at -5.75 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 82 /sdram/RAS)) + (pad 44 smd rect (at -5.25 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 81 /sdram/CAS)) + (pad 45 smd rect (at -4.75 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 100 /sdram/CLK)) + (pad 46 smd rect (at -4.25 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 80 /sdram/WE)) + (pad 47 smd rect (at -3.75 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 101 /sdram/DQMH)) + (pad 48 smd rect (at -3.25 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 79 /sdram/DQML)) + (pad 49 smd rect (at -2.75 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 50 smd rect (at -2.25 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 83 /sdram/DQ7)) - (pad 51 smd rect (at -1.75 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 50 smd rect (at -2.25 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 78 /sdram/DQ7)) + (pad 51 smd rect (at -1.75 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 52 smd rect (at -1.25 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 108 /sdram/DQ8)) - (pad 53 smd rect (at -0.75 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 82 /sdram/DQ6)) - (pad 54 smd rect (at -0.25 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 109 /sdram/DQ9)) - (pad 55 smd rect (at 0.25 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 81 /sdram/DQ5)) - (pad 56 smd rect (at 0.75 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 110 /sdram/DQ10)) - (pad 57 smd rect (at 1.25 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 80 /sdram/DQ4)) - (pad 58 smd rect (at 1.75 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 111 /sdram/DQ11)) - (pad 59 smd rect (at 2.25 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 52 smd rect (at -1.25 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 103 /sdram/DQ8)) + (pad 53 smd rect (at -0.75 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 77 /sdram/DQ6)) + (pad 54 smd rect (at -0.25 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 104 /sdram/DQ9)) + (pad 55 smd rect (at 0.25 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 76 /sdram/DQ5)) + (pad 56 smd rect (at 0.75 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 105 /sdram/DQ10)) + (pad 57 smd rect (at 1.25 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 75 /sdram/DQ4)) + (pad 58 smd rect (at 1.75 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 106 /sdram/DQ11)) + (pad 59 smd rect (at 2.25 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 7 +1V2)) - (pad 60 smd rect (at 2.75 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 60 smd rect (at 2.75 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 61 smd rect (at 3.25 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 79 /sdram/DQ3)) - (pad 62 smd rect (at 3.75 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 112 /sdram/DQ12)) - (pad 63 smd rect (at 4.25 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 61 smd rect (at 3.25 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 74 /sdram/DQ3)) + (pad 62 smd rect (at 3.75 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 107 /sdram/DQ12)) + (pad 63 smd rect (at 4.25 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 64 smd rect (at 4.75 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 64 smd rect (at 4.75 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 65 smd rect (at 5.25 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 78 /sdram/DQ2)) - (pad 66 smd rect (at 5.75 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 113 /sdram/DQ13)) - (pad 67 smd rect (at 6.25 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 65 smd rect (at 5.25 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 73 /sdram/DQ2)) + (pad 66 smd rect (at 5.75 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 108 /sdram/DQ13)) + (pad 67 smd rect (at 6.25 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 68 smd rect (at 6.75 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 68 smd rect (at 6.75 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 69 smd rect (at 7.25 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 77 /sdram/DQ1)) - (pad 70 smd rect (at 7.75 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 114 /sdram/DQ14)) - (pad 71 smd rect (at 8.25 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 76 /sdram/DQ0)) - (pad 72 smd rect (at 8.75 10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 115 /sdram/DQ15)) - (pad 73 smd rect (at 10.7 8.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 174 "Net-(U205-Pad73)")) - (pad 74 smd rect (at 10.7 8.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 175 /display/DB0)) - (pad 75 smd rect (at 10.7 7.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 69 smd rect (at 7.25 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 72 /sdram/DQ1)) + (pad 70 smd rect (at 7.75 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 109 /sdram/DQ14)) + (pad 71 smd rect (at 8.25 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 71 /sdram/DQ0)) + (pad 72 smd rect (at 8.75 10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 110 /sdram/DQ15)) + (pad 73 smd rect (at 10.7 8.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 169 "Net-(U205-Pad73)")) + (pad 74 smd rect (at 10.7 8.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 170 /display/DB0)) + (pad 75 smd rect (at 10.7 7.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 76 smd rect (at 10.7 7.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 76 smd rect (at 10.7 7.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 77 smd rect (at 10.7 6.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 176 /display/DB1)) - (pad 78 smd rect (at 10.7 6.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 177 /display/DB2)) - (pad 79 smd rect (at 10.7 5.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 42 /debug/FTMS)) - (pad 80 smd rect (at 10.7 5.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 43 /debug/FTDI)) - (pad 81 smd rect (at 10.7 4.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 41 /debug/FTCK)) - (pad 82 smd rect (at 10.7 4.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 44 /debug/FTDO)) - (pad 83 smd rect (at 10.7 3.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 77 smd rect (at 10.7 6.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 171 /display/DB1)) + (pad 78 smd rect (at 10.7 6.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 172 /display/DB2)) + (pad 79 smd rect (at 10.7 5.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 37 /debug/FTMS)) + (pad 80 smd rect (at 10.7 5.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 38 /debug/FTDI)) + (pad 81 smd rect (at 10.7 4.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 36 /debug/FTCK)) + (pad 82 smd rect (at 10.7 4.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 39 /debug/FTDO)) + (pad 83 smd rect (at 10.7 3.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 84 smd rect (at 10.7 3.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 84 smd rect (at 10.7 3.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 7 +1V2)) - (pad 85 smd rect (at 10.7 2.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 85 smd rect (at 10.7 2.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 86 smd rect (at 10.7 2.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 86 smd rect (at 10.7 2.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 87 smd rect (at 10.7 1.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 178 /display/RS)) - (pad 88 smd rect (at 10.7 1.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 179 /display/DB3)) - (pad 89 smd rect (at 10.7 0.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 180 /display/WR)) - (pad 90 smd rect (at 10.7 0.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 181 /display/DB4)) - (pad 91 smd rect (at 10.7 -0.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 182 /display/RD)) - (pad 92 smd rect (at 10.7 -0.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 183 /display/DB5)) - (pad 93 smd rect (at 10.7 -1.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 184 /display/DB8)) - (pad 94 smd rect (at 10.7 -1.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 185 /display/DB6)) - (pad 95 smd rect (at 10.7 -2.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 87 smd rect (at 10.7 1.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 173 /display/RS)) + (pad 88 smd rect (at 10.7 1.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 174 /display/DB3)) + (pad 89 smd rect (at 10.7 0.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 175 /display/WR)) + (pad 90 smd rect (at 10.7 0.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 176 /display/DB4)) + (pad 91 smd rect (at 10.7 -0.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 177 /display/RD)) + (pad 92 smd rect (at 10.7 -0.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 178 /display/DB5)) + (pad 93 smd rect (at 10.7 -1.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 179 /display/DB8)) + (pad 94 smd rect (at 10.7 -1.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 180 /display/DB6)) + (pad 95 smd rect (at 10.7 -2.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 96 smd rect (at 10.7 -2.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 186 /display/DB9)) - (pad 97 smd rect (at 10.7 -3.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 96 smd rect (at 10.7 -2.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 181 /display/DB9)) + (pad 97 smd rect (at 10.7 -3.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 98 smd rect (at 10.7 -3.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 187 /display/DB7)) - (pad 99 smd rect (at 10.7 -4.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 188 /display/DB10)) - (pad 100 smd rect (at 10.7 -4.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 189 /display/DB11)) - (pad 101 smd rect (at 10.7 -5.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 190 /display/DB12)) - (pad 102 smd rect (at 10.7 -5.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 191 /display/DB13)) - (pad 103 smd rect (at 10.7 -6.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 192 /display/DB14)) - (pad 104 smd rect (at 10.7 -6.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 193 /display/DB15)) - (pad 105 smd rect (at 10.7 -7.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 98 smd rect (at 10.7 -3.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 182 /display/DB7)) + (pad 99 smd rect (at 10.7 -4.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 183 /display/DB10)) + (pad 100 smd rect (at 10.7 -4.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 184 /display/DB11)) + (pad 101 smd rect (at 10.7 -5.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 185 /display/DB12)) + (pad 102 smd rect (at 10.7 -5.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 186 /display/DB13)) + (pad 103 smd rect (at 10.7 -6.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 187 /display/DB14)) + (pad 104 smd rect (at 10.7 -6.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 188 /display/DB15)) + (pad 105 smd rect (at 10.7 -7.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 106 smd rect (at 10.7 -7.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 106 smd rect (at 10.7 -7.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 107 smd rect (at 10.7 -8.25) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 194 /display/CS)) - (pad 108 smd rect (at 10.7 -8.75) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 195 /display/RST)) - (pad 109 smd rect (at 8.75 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 148 /FSMC/A18)) - (pad 110 smd rect (at 8.25 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 147 /FSMC/A17)) - (pad 111 smd rect (at 7.75 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 107 smd rect (at 10.7 -8.25 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 189 /display/CS)) + (pad 108 smd rect (at 10.7 -8.75 180) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 190 /display/RST)) + (pad 109 smd rect (at 8.75 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 143 /FSMC/A18)) + (pad 110 smd rect (at 8.25 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 142 /FSMC/A17)) + (pad 111 smd rect (at 7.75 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 112 smd rect (at 7.25 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 112 smd rect (at 7.25 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 113 smd rect (at 6.75 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 146 /FSMC/A16)) - (pad 114 smd rect (at 6.25 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 55 /FSMC/BACKLIGHT_EN_N)) - (pad 115 smd rect (at 5.75 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 156 /FSMC/OE_N)) - (pad 116 smd rect (at 5.25 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 155 /FSMC/CLK)) - (pad 117 smd rect (at 4.75 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 113 smd rect (at 6.75 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 141 /FSMC/A16)) + (pad 114 smd rect (at 6.25 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 50 /FSMC/BACKLIGHT_EN_N)) + (pad 115 smd rect (at 5.75 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 151 /FSMC/OE_N)) + (pad 116 smd rect (at 5.25 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 150 /FSMC/CLK)) + (pad 117 smd rect (at 4.75 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 118 smd rect (at 4.25 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 118 smd rect (at 4.25 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 7 +1V2)) - (pad 119 smd rect (at 3.75 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 145 /FSMC/DA15)) - (pad 120 smd rect (at 3.25 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 144 /FSMC/DA14)) - (pad 121 smd rect (at 2.75 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 143 /FSMC/DA13)) - (pad 122 smd rect (at 2.25 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 149 /FSMC/DA0)) - (pad 123 smd rect (at 1.75 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 150 /FSMC/DA1)) - (pad 124 smd rect (at 1.25 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 153 /FSMC/DA2)) - (pad 125 smd rect (at 0.75 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 154 /FSMC/DA3)) - (pad 126 smd rect (at 0.25 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 119 smd rect (at 3.75 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 140 /FSMC/DA15)) + (pad 120 smd rect (at 3.25 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 139 /FSMC/DA14)) + (pad 121 smd rect (at 2.75 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 138 /FSMC/DA13)) + (pad 122 smd rect (at 2.25 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 144 /FSMC/DA0)) + (pad 123 smd rect (at 1.75 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 145 /FSMC/DA1)) + (pad 124 smd rect (at 1.25 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 148 /FSMC/DA2)) + (pad 125 smd rect (at 0.75 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 149 /FSMC/DA3)) + (pad 126 smd rect (at 0.25 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 127 smd rect (at -0.25 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 136 /FSMC/DA12)) - (pad 128 smd rect (at -0.75 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 127 smd rect (at -0.25 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 131 /FSMC/DA12)) + (pad 128 smd rect (at -0.75 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 129 smd rect (at -1.25 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 135 /FSMC/DA11)) - (pad 130 smd rect (at -1.75 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 134 /FSMC/DA10)) - (pad 131 smd rect (at -2.25 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 133 /FSMC/DA9)) - (pad 132 smd rect (at -2.75 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 132 /FSMC/DA8)) - (pad 133 smd rect (at -3.25 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 131 /FSMC/DA7)) - (pad 134 smd rect (at -3.75 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 130 /FSMC/DA6)) - (pad 135 smd rect (at -4.25 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 129 smd rect (at -1.25 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 130 /FSMC/DA11)) + (pad 130 smd rect (at -1.75 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 129 /FSMC/DA10)) + (pad 131 smd rect (at -2.25 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 128 /FSMC/DA9)) + (pad 132 smd rect (at -2.75 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 127 /FSMC/DA8)) + (pad 133 smd rect (at -3.25 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 126 /FSMC/DA7)) + (pad 134 smd rect (at -3.75 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 125 /FSMC/DA6)) + (pad 135 smd rect (at -4.25 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 136 smd rect (at -4.75 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 136 smd rect (at -4.75 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 137 smd rect (at -5.25 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 129 /FSMC/DA5)) - (pad 138 smd rect (at -5.75 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 128 /FSMC/DA4)) - (pad 139 smd rect (at -6.25 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 137 smd rect (at -5.25 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 124 /FSMC/DA5)) + (pad 138 smd rect (at -5.75 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 123 /FSMC/DA4)) + (pad 139 smd rect (at -6.25 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 140 smd rect (at -6.75 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (pad 140 smd rect (at -6.75 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 141 smd rect (at -7.25 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 157 /FSMC/WE_N)) - (pad 142 smd rect (at -7.75 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 158 /FSMC/WAIT_N)) - (pad 143 smd rect (at -8.25 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 159 /FSMC/E1_N)) - (pad 144 smd rect (at -8.75 -10.7 90) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) - (net 162 /FSMC/ADV_N)) + (pad 141 smd rect (at -7.25 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 152 /FSMC/WE_N)) + (pad 142 smd rect (at -7.75 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 153 /FSMC/WAIT_N)) + (pad 143 smd rect (at -8.25 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 154 /FSMC/E1_N)) + (pad 144 smd rect (at -8.75 -10.7 270) (size 1.55 0.3) (layers F.Cu F.Paste F.Mask) + (net 157 /FSMC/ADV_N)) (model Housings_QFP.3dshapes/LQFP-144_20x20mm_Pitch0.5mm.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4944,8 +4847,8 @@ ) ) - (module itead-display:ITDB02-3.2WD (layer F.Cu) (tedit 56C6AB88) (tstamp 56D8595E) - (at 65.428976 60.885622) + (module itead-display:ITDB02-3.2WD locked (layer F.Cu) (tedit 56C6AB88) (tstamp 56D8595E) + (at 82.778976 57.435622) (path /563244F7/56C57ED6) (fp_text reference U206 (at -0.7 -6.575) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) @@ -4986,83 +4889,83 @@ (pad 1 thru_hole rect (at 0 -0.0004) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) (net 2 GND)) (pad 2 thru_hole oval (at 2.54 -0.0004) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 175 /display/DB0)) + (net 170 /display/DB0)) (pad 3 thru_hole oval (at 0 2.5396) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) (net 6 VFPGA33)) (pad 4 thru_hole oval (at 2.54 2.5396) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 176 /display/DB1)) + (net 171 /display/DB1)) (pad 5 thru_hole oval (at 0 5.0796) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 196 "Net-(U206-Pad5)")) + (net 191 "Net-(U206-Pad5)")) (pad 6 thru_hole oval (at 2.54 5.0796) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 177 /display/DB2)) + (net 172 /display/DB2)) (pad 7 thru_hole oval (at 0 7.6196) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 178 /display/RS)) + (net 173 /display/RS)) (pad 8 thru_hole oval (at 2.54 7.6196) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 179 /display/DB3)) + (net 174 /display/DB3)) (pad 9 thru_hole oval (at 0 10.1596) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 180 /display/WR)) + (net 175 /display/WR)) (pad 10 thru_hole oval (at 2.54 10.1596) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 181 /display/DB4)) + (net 176 /display/DB4)) (pad 11 thru_hole oval (at 0 12.6996) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 182 /display/RD)) + (net 177 /display/RD)) (pad 12 thru_hole oval (at 2.54 12.6996) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 183 /display/DB5)) + (net 178 /display/DB5)) (pad 13 thru_hole oval (at 0 15.2396) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 184 /display/DB8)) + (net 179 /display/DB8)) (pad 14 thru_hole oval (at 2.54 15.2396) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 185 /display/DB6)) + (net 180 /display/DB6)) (pad 15 thru_hole oval (at 0 17.7796) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 186 /display/DB9)) + (net 181 /display/DB9)) (pad 16 thru_hole oval (at 2.54 17.7796) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 187 /display/DB7)) + (net 182 /display/DB7)) (pad 17 thru_hole oval (at 0 20.3196) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 188 /display/DB10)) + (net 183 /display/DB10)) (pad 18 thru_hole oval (at 2.54 20.3196) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 140 /FSMC/T_CLK)) + (net 135 /FSMC/T_CLK)) (pad 19 thru_hole oval (at 0 22.8596) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 189 /display/DB11)) + (net 184 /display/DB11)) (pad 20 thru_hole oval (at 2.54 22.8596) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 139 /FSMC/T_CS)) + (net 134 /FSMC/T_CS)) (pad 21 thru_hole oval (at 0 25.3996) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 190 /display/DB12)) + (net 185 /display/DB12)) (pad 22 thru_hole oval (at 2.54 25.3996) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 142 /FSMC/T_DIN)) + (net 137 /FSMC/T_DIN)) (pad 23 thru_hole oval (at 0 27.9396) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 191 /display/DB13)) + (net 186 /display/DB13)) (pad 24 thru_hole oval (at 2.54 27.9396) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 151 /display/T_BUSY)) + (net 146 /display/T_BUSY)) (pad 25 thru_hole oval (at 0 30.4796) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 192 /display/DB14)) + (net 187 /display/DB14)) (pad 26 thru_hole oval (at 2.54 30.4796) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 141 /FSMC/T_DOUT)) + (net 136 /FSMC/T_DOUT)) (pad 27 thru_hole oval (at 0 33.0196) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 193 /display/DB15)) + (net 188 /display/DB15)) (pad 28 thru_hole oval (at 2.54 33.0196) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 152 /display/T_IRQ)) + (net 147 /display/T_IRQ)) (pad 29 thru_hole oval (at 0 35.5596) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 194 /display/CS)) + (net 189 /display/CS)) (pad 30 thru_hole oval (at 2.54 35.5596) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 197 "Net-(U206-Pad30)")) + (net 192 "Net-(U206-Pad30)")) (pad 31 thru_hole oval (at 0 38.0996) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 198 "Net-(U206-Pad31)")) + (net 193 "Net-(U206-Pad31)")) (pad 32 thru_hole oval (at 2.54 38.0996) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 199 "Net-(U206-Pad32)")) + (net 194 "Net-(U206-Pad32)")) (pad 33 thru_hole oval (at 0 40.6396) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 195 /display/RST)) + (net 190 /display/RST)) (pad 34 thru_hole oval (at 2.54 40.6396) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 200 "Net-(U206-Pad34)")) + (net 195 "Net-(U206-Pad34)")) (pad 35 thru_hole oval (at 0 43.1796) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 201 "Net-(U206-Pad35)")) + (net 196 "Net-(U206-Pad35)")) (pad 36 thru_hole oval (at 2.54 43.1796) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 202 "Net-(U206-Pad36)")) + (net 197 "Net-(U206-Pad36)")) (pad 37 thru_hole oval (at 0 45.7196) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 58 "Net-(R203-Pad1)")) + (net 53 "Net-(R203-Pad1)")) (pad 38 thru_hole oval (at 2.54 45.7196) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 203 "Net-(U206-Pad38)")) + (net 198 "Net-(U206-Pad38)")) (pad 39 thru_hole oval (at 0 48.2596) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 204 "Net-(U206-Pad39)")) + (net 199 "Net-(U206-Pad39)")) (pad 40 thru_hole oval (at 2.54 48.2596) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) - (net 205 "Net-(U206-Pad40)")) + (net 200 "Net-(U206-Pad40)")) (pad "" np_thru_hole circle (at 9.1 -2.775) (size 7 7) (drill 3) (layers *.Cu *.Mask F.SilkS)) (pad "" np_thru_hole circle (at 9.1 51.025) (size 7 7) (drill 3) (layers *.Cu *.Mask F.SilkS)) (pad "" np_thru_hole circle (at 80.1 -2.775) (size 7 7) (drill 3) (layers *.Cu *.Mask F.SilkS)) @@ -5075,15 +4978,15 @@ ) (module Housings_SSOP:SSOP-16_5.3x6.2mm_Pitch0.65mm (layer F.Cu) (tedit 54130A77) (tstamp 56D8597D) - (at 122.751832 120.930622) + (at 129.175 70.95 90) (descr "SSOP16: plastic shrink small outline package; 16 leads; body width 5.3 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot338-1_po.pdf)") (tags "SSOP 0.65") (path /563244F7/56CA6384) (attr smd) - (fp_text reference U207 (at 0 -4.2) (layer F.SilkS) + (fp_text reference U207 (at 0 -4.2 90) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value UDA1330ATS (at 0 4.2) (layer F.Fab) + (fp_text value UDA1330ATS (at 0 4.2 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -4.3 -3.45) (end -4.3 3.45) (layer F.CrtYd) (width 0.05)) @@ -5097,38 +5000,38 @@ (fp_line (start -2.775 -3.275) (end 2.775 -3.275) (layer F.SilkS) (width 0.15)) (fp_line (start -2.775 3.275) (end 2.775 3.275) (layer F.SilkS) (width 0.15)) (fp_line (start -2.775 -2.7) (end -4.05 -2.7) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -3.45 -2.275) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) - (net 173 /audio/ABCK)) - (pad 2 smd rect (at -3.45 -1.625) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) - (net 172 /audio/AWS)) - (pad 3 smd rect (at -3.45 -0.975) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) - (net 168 /audio/ADATA)) - (pad 4 smd rect (at -3.45 -0.325) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at -3.45 -2.275 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 168 /audio/ABCK)) + (pad 2 smd rect (at -3.45 -1.625 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 167 /audio/AWS)) + (pad 3 smd rect (at -3.45 -0.975 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 163 /audio/ADATA)) + (pad 4 smd rect (at -3.45 -0.325 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 5 smd rect (at -3.45 0.325) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (pad 5 smd rect (at -3.45 0.325 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 6 smd rect (at -3.45 0.975) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) - (net 170 /audio/ACLK)) - (pad 7 smd rect (at -3.45 1.625) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) - (net 64 "Net-(R801-Pad1)")) - (pad 8 smd rect (at -3.45 2.275) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) - (net 169 /audio/L3DATA)) - (pad 9 smd rect (at 3.45 2.275) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) - (net 167 /audio/L3MODE)) - (pad 10 smd rect (at 3.45 1.625) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) - (net 173 /audio/ABCK)) - (pad 11 smd rect (at 3.45 0.975) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (pad 6 smd rect (at -3.45 0.975 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 165 /audio/ACLK)) + (pad 7 smd rect (at -3.45 1.625 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 59 "Net-(R801-Pad1)")) + (pad 8 smd rect (at -3.45 2.275 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 164 /audio/L3DATA)) + (pad 9 smd rect (at 3.45 2.275 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 162 /audio/L3MODE)) + (pad 10 smd rect (at 3.45 1.625 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 168 /audio/ABCK)) + (pad 11 smd rect (at 3.45 0.975 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 12 smd rect (at 3.45 0.325) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) - (net 12 /audio/DACVREF)) - (pad 13 smd rect (at 3.45 -0.325) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (pad 12 smd rect (at 3.45 0.325 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 11 /audio/DACVREF)) + (pad 13 smd rect (at 3.45 -0.325 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) (net 6 VFPGA33)) - (pad 14 smd rect (at 3.45 -0.975) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) - (net 206 /audio/DACL)) - (pad 15 smd rect (at 3.45 -1.625) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (pad 14 smd rect (at 3.45 -0.975 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 201 /audio/DACL)) + (pad 15 smd rect (at 3.45 -1.625 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 16 smd rect (at 3.45 -2.275) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) - (net 207 /audio/DACR)) + (pad 16 smd rect (at 3.45 -2.275 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 202 /audio/DACR)) (model Housings_SSOP.3dshapes/SSOP-16_5.3x6.2mm_Pitch0.65mm.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5137,15 +5040,15 @@ ) (module Housings_SSOP:MSOP-10_3x3mm_Pitch0.5mm (layer F.Cu) (tedit 54130A77) (tstamp 56D85996) - (at 124.781356 129.830622) + (at 168 66.3 270) (descr "10-Lead Plastic Micro Small Outline Package (MS) [MSOP] (see Microchip Packaging Specification 00000049BS.pdf)") (tags "SSOP 0.5") (path /563244F7/56C1D285) (attr smd) - (fp_text reference U208 (at 0 -2.6) (layer F.SilkS) + (fp_text reference U208 (at 0 -2.6 270) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value MCP73833 (at 0 2.6) (layer F.Fab) + (fp_text value MCP73833 (at 0 2.6 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -3.15 -1.85) (end -3.15 1.85) (layer F.CrtYd) (width 0.05)) @@ -5159,26 +5062,26 @@ (fp_line (start -1.675 -1.675) (end 1.675 -1.675) (layer F.SilkS) (width 0.15)) (fp_line (start -1.675 1.675) (end 1.675 1.675) (layer F.SilkS) (width 0.15)) (fp_line (start -1.675 -1.375) (end -2.9 -1.375) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -2.2 -1) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) - (net 9 VUSB)) - (pad 2 smd rect (at -2.2 -0.5) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) - (net 9 VUSB)) - (pad 3 smd rect (at -2.2 0) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) - (net 61 /powersupply/CHG_ACTIVE_N)) - (pad 4 smd rect (at -2.2 0.5) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) - (net 208 "Net-(U208-Pad4)")) - (pad 5 smd rect (at -2.2 1) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at -2.2 -1 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) + (net 8 VUSB)) + (pad 2 smd rect (at -2.2 -0.5 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) + (net 8 VUSB)) + (pad 3 smd rect (at -2.2 0 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) + (net 56 /powersupply/CHG_ACTIVE_N)) + (pad 4 smd rect (at -2.2 0.5 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) + (net 203 "Net-(U208-Pad4)")) + (pad 5 smd rect (at -2.2 1 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 6 smd rect (at 2.2 1) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) - (net 63 /powersupply/PROG)) - (pad 7 smd rect (at 2.2 0.5) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) - (net 62 /powersupply/USB_PRESENT_N)) - (pad 8 smd rect (at 2.2 0) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) - (net 37 /powersupply/THERM)) - (pad 9 smd rect (at 2.2 -0.5) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) - (net 31 VBAT)) - (pad 10 smd rect (at 2.2 -1) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) - (net 31 VBAT)) + (pad 6 smd rect (at 2.2 1 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) + (net 58 /powersupply/PROG)) + (pad 7 smd rect (at 2.2 0.5 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) + (net 57 /powersupply/USB_PRESENT_N)) + (pad 8 smd rect (at 2.2 0 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) + (net 32 /powersupply/THERM)) + (pad 9 smd rect (at 2.2 -0.5 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) + (net 29 VBAT)) + (pad 10 smd rect (at 2.2 -1 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask) + (net 29 VBAT)) (model Housings_SSOP.3dshapes/MSOP-10_3x3mm_Pitch0.5mm.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5187,15 +5090,15 @@ ) (module Housings_SOIC:SOIC-8_3.9x4.9mm_Pitch1.27mm (layer F.Cu) (tedit 54130A77) (tstamp 56D859AD) - (at 214.008976 98.140622) + (at 128.865 62.5 90) (descr "8-Lead Plastic Small Outline (SN) - Narrow, 3.90 mm Body [SOIC] (see Microchip Packaging Specification 00000049BS.pdf)") (tags "SOIC 1.27") (path /56CA5D53/56CB06E0) (attr smd) - (fp_text reference U801 (at 0 -3.5) (layer F.SilkS) + (fp_text reference U801 (at 0 -3.5 90) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value LM358N (at 0 3.5) (layer F.Fab) + (fp_text value LM358N (at 0 3.5 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -3.75 -2.75) (end -3.75 2.75) (layer F.CrtYd) (width 0.05)) @@ -5209,21 +5112,21 @@ (fp_line (start -2.075 -2.575) (end 2.075 -2.575) (layer F.SilkS) (width 0.15)) (fp_line (start -2.075 2.575) (end 2.075 2.575) (layer F.SilkS) (width 0.15)) (fp_line (start -2.075 -2.43) (end -3.475 -2.43) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -2.7 -1.905) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask) - (net 14 /audio/FBL)) - (pad 2 smd rect (at -2.7 -0.635) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask) - (net 14 /audio/FBL)) - (pad 3 smd rect (at -2.7 0.635) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask) - (net 206 /audio/DACL)) - (pad 4 smd rect (at -2.7 1.905) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask) + (pad 1 smd rect (at -2.7 -1.905 90) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask) + (net 13 /audio/FBL)) + (pad 2 smd rect (at -2.7 -0.635 90) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask) + (net 13 /audio/FBL)) + (pad 3 smd rect (at -2.7 0.635 90) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask) + (net 201 /audio/DACL)) + (pad 4 smd rect (at -2.7 1.905 90) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask) (net 2 GND)) - (pad 5 smd rect (at 2.7 1.905) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask) - (net 207 /audio/DACR)) - (pad 6 smd rect (at 2.7 0.635) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask) - (net 16 /audio/FBR)) - (pad 7 smd rect (at 2.7 -0.635) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask) - (net 16 /audio/FBR)) - (pad 8 smd rect (at 2.7 -1.905) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask) + (pad 5 smd rect (at 2.7 1.905 90) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask) + (net 202 /audio/DACR)) + (pad 6 smd rect (at 2.7 0.635 90) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask) + (net 15 /audio/FBR)) + (pad 7 smd rect (at 2.7 -0.635 90) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask) + (net 15 /audio/FBR)) + (pad 8 smd rect (at 2.7 -1.905 90) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask) (net 3 VSTM33)) (model Housings_SOIC.3dshapes/SOIC-8_3.9x4.9mm_Pitch1.27mm.wrl (at (xyz 0 0 0)) @@ -5233,7 +5136,7 @@ ) (module SMD_Packages:1Pin (layer F.Cu) (tedit 0) (tstamp 56D859B6) - (at 212.808336 110.270742) + (at 116.158336 -20.179258) (descr "module 1 pin (ou trou mecanique de percage)") (tags DEV) (path /56C579FC/56CC3477) @@ -5248,42 +5151,42 @@ (fp_line (start 2.49936 2.49936) (end -2.49936 2.49936) (layer F.SilkS) (width 0.15)) (fp_line (start -2.49936 2.49936) (end -2.49936 -2.49936) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at 0 0) (size 4.064 4.064) (layers F.Cu F.Paste F.SilkS F.Mask) - (net 174 "Net-(U205-Pad73)")) + (net 169 "Net-(U205-Pad73)")) ) - (module smd:Oscillator-SMD (layer F.Cu) (tedit 56D83549) (tstamp 56D859C8) - (at 164.648976 140.990622) + (module smd:Oscillator-SMD (layer B.Cu) (tedit 56D83549) (tstamp 56D859C8) + (at 114 83.4 90) (descr http://www.tme.eu/en/Document/04fa0ef07f38450711886bdf482cf79c/ISM92_Series.pdf) (tags "SMD Ceramic Crystal Oscillator HC-MOS") (path /56C6F777/56CA3014) - (fp_text reference X601 (at 1 1.8) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference X601 (at 5.9 0 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_text value TCXO_DFAS11 (at 4.4 3.1) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value TCXO_DFAS11 (at 2.4 1.5 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_line (start -0.9 0.8) (end -0.6 0.8) (layer F.SilkS) (width 0.15)) - (fp_line (start -0.9 0.5) (end -0.9 0.8) (layer F.SilkS) (width 0.15)) - (fp_line (start -0.9 -2.8) (end -1 -2.8) (layer F.SilkS) (width 0.15)) - (fp_line (start 2 -2.8) (end 0.9 -2.8) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.9 -2.8) (end 3.8 -2.8) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.9 0.3) (end 3.8 0.3) (layer F.SilkS) (width 0.15)) - (fp_line (start 0.9 0.3) (end 2 0.3) (layer F.SilkS) (width 0.15)) - (fp_line (start -1 0.3) (end -1 -2.8) (layer F.SilkS) (width 0.15)) - (fp_line (start -0.9 0.3) (end -1 0.3) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.9 -2.8) (end 3.9 0.3) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at 0 0) (size 1.6 1.35) (layers F.Cu F.Paste F.Mask) - (net 124 /sdcard/OSC_EN)) - (pad 2 smd rect (at 2.9 0) (size 1.6 1.35) (layers F.Cu F.Paste F.Mask) + (fp_line (start -0.9 -0.8) (end -0.6 -0.8) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.9 -0.5) (end -0.9 -0.8) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.9 2.8) (end -1 2.8) (layer B.SilkS) (width 0.15)) + (fp_line (start 2 2.8) (end 0.9 2.8) (layer B.SilkS) (width 0.15)) + (fp_line (start 3.9 2.8) (end 3.8 2.8) (layer B.SilkS) (width 0.15)) + (fp_line (start 3.9 -0.3) (end 3.8 -0.3) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.9 -0.3) (end 2 -0.3) (layer B.SilkS) (width 0.15)) + (fp_line (start -1 -0.3) (end -1 2.8) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.9 -0.3) (end -1 -0.3) (layer B.SilkS) (width 0.15)) + (fp_line (start 3.9 2.8) (end 3.9 -0.3) (layer B.SilkS) (width 0.15)) + (pad 1 smd rect (at 0 0 90) (size 1.6 1.35) (layers B.Cu B.Paste B.Mask) + (net 119 /sdcard/OSC_EN)) + (pad 2 smd rect (at 2.9 0 90) (size 1.6 1.35) (layers B.Cu B.Paste B.Mask) (net 2 GND)) - (pad 3 smd rect (at 2.9 -2.5) (size 1.6 1.35) (layers F.Cu F.Paste F.Mask) - (net 171 /sdram/CLK_50M)) - (pad 4 smd rect (at 0 -2.5) (size 1.6 1.35) (layers F.Cu F.Paste F.Mask) + (pad 3 smd rect (at 2.9 2.5 90) (size 1.6 1.35) (layers B.Cu B.Paste B.Mask) + (net 166 /sdram/CLK_50M)) + (pad 4 smd rect (at 0 2.5 90) (size 1.6 1.35) (layers B.Cu B.Paste B.Mask) (net 6 VFPGA33)) ) (module Crystals:Q_49U3HMS (layer F.Cu) (tedit 0) (tstamp 56D859DE) - (at 69.902525 161.330623) + (at 87.252525 157.880623) (path /56337D98/56C71642) (fp_text reference Y301 (at -0.1 -1.6) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) @@ -5308,9 +5211,9 @@ (fp_line (start 5.715 2.54) (end -5.715 2.54) (layer F.SilkS) (width 0.15)) (fp_line (start -5.715 -2.54) (end 5.715 -2.54) (layer F.SilkS) (width 0.15)) (pad 1 smd rect (at -4.699 0) (size 5.4991 1.99898) (layers F.Cu F.Paste F.Mask) - (net 10 /debug/OSCIN)) + (net 9 /debug/OSCIN)) (pad 2 smd rect (at 4.699 0) (size 5.4991 1.99898) (layers F.Cu F.Paste F.Mask) - (net 11 /debug/OSCOUT)) + (net 10 /debug/OSCOUT)) (model Crystals.3dshapes/Q_49U3HMS.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5318,4 +5221,587 @@ ) ) + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 56D964EF) + (at 168 62 180) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /563244F7/56D8A1C6) + (attr smd) + (fp_text reference C240 (at -3.5 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100n (at 0 1.9 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.45 -0.75) (end 1.45 -0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.45 0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.45 -0.75) (end -1.45 0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.45 -0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 8 VUSB)) + (pad 2 smd rect (at 0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer B.Cu) (tedit 5415D631) (tstamp 56D989B3) + (at 127 62.8 270) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /56CA5D53/56D947A0) + (attr smd) + (fp_text reference C801 (at 0 1.9 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 100n (at 0 -1.9 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -1.45 0.75) (end 1.45 0.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.45 -0.75) (end 1.45 -0.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.45 0.75) (end -1.45 -0.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.45 0.75) (end 1.45 -0.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.35 0.6) (end 0.35 0.6) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.35 -0.6) (end -0.35 -0.6) (layer B.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.75 0 270) (size 0.8 0.75) (layers B.Cu B.Paste B.Mask) + (net 3 VSTM33)) + (pad 2 smd rect (at 0.75 0 270) (size 0.8 0.75) (layers B.Cu B.Paste B.Mask) + (net 2 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 5415D6EA) (tstamp 56DA7414) + (at 134 69.5 270) + (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0805") + (path /56CA5D53/56CAE8FF) + (attr smd) + (fp_text reference C803 (at 0 -2.1 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 47u (at 0 2.1 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.8 -1) (end 1.8 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 1) (end 1.8 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -1) (end -1.8 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1) (end 1.8 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.5 -0.85) (end -0.5 -0.85) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.5 0.85) (end 0.5 0.85) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -1 0 270) (size 1 1.25) (layers F.Cu F.Paste F.Mask) + (net 11 /audio/DACVREF)) + (pad 2 smd rect (at 1 0 270) (size 1 1.25) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (model Capacitors_SMD.3dshapes/C_0805.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (gr_line (start 202.25 113) (end 52.25 113) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 52.25 50) (end 202.25 50) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 52.25 50) (end 52.25 113) (layer Edge.Cuts) (width 0.1) (tstamp 56D99DD0)) + (gr_line (start 202.25 50) (end 202.25 113) (layer Edge.Cuts) (width 0.1)) + + (segment (start 127 62.05) (end 127 61.1) (width 0.1524) (layer B.Cu) (net 3)) + (segment (start 126.96 61.06) (end 127 61.1) (width 0.1524) (layer F.Cu) (net 3)) + (segment (start 126.96 59.8) (end 126.96 61.06) (width 0.1524) (layer F.Cu) (net 3)) + (via (at 127 61.1) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 3)) + (segment (start 129.5 68.600012) (end 129.499988 68.6) (width 0.1524) (layer B.Cu) (net 11)) + (segment (start 129.5 69.5) (end 129.5 68.600012) (width 0.1524) (layer B.Cu) (net 11)) + (segment (start 129.5 68.599988) (end 129.499988 68.6) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 129.5 68.5) (end 129.5 68.599988) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 129.5 67.5) (end 129.5 68.5) (width 0.1524) (layer F.Cu) (net 11)) + (via (at 129.499988 68.6) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 11)) + (segment (start 134.5 64.05) (end 134.5 61.5) (width 0.1524) (layer F.Cu) (net 12)) + (segment (start 134.5 61.5) (end 135.25 61.5) (width 0.1524) (layer B.Cu) (net 12)) + (segment (start 135.25 61.5) (end 135.5 61.75) (width 0.1524) (layer B.Cu) (net 12)) + (segment (start 133.5 61.5) (end 134.5 61.5) (width 0.1524) (layer F.Cu) (net 12)) + (via (at 134.5 61.5) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 12)) + (segment (start 133.5 59.5) (end 132.7226 59.5) (width 0.1524) (layer F.Cu) (net 13)) + (segment (start 132.7226 59.5) (end 128.23 63.9926) (width 0.1524) (layer F.Cu) (net 13)) + (segment (start 128.23 63.9926) (end 128.23 64.2726) (width 0.1524) (layer F.Cu) (net 13)) + (segment (start 128.23 64.2726) (end 128.23 65.2) (width 0.1524) (layer F.Cu) (net 13)) + (segment (start 128.23 65.2) (end 126.96 65.2) (width 0.1524) (layer F.Cu) (net 13)) + (segment (start 141.75 56.75) (end 140.3226 56.75) (width 0.1524) (layer F.Cu) (net 14)) + (segment (start 140.3226 56.75) (end 139.5726 57.5) (width 0.1524) (layer F.Cu) (net 14)) + (segment (start 139.5726 57.5) (end 134.931052 57.5) (width 0.1524) (layer F.Cu) (net 14)) + (segment (start 134.931052 57.5) (end 134.5 57.5) (width 0.1524) (layer F.Cu) (net 14)) + (segment (start 134.5 57.5) (end 135.25 57.5) (width 0.1524) (layer B.Cu) (net 14)) + (segment (start 135.25 57.5) (end 135.5 57.25) (width 0.1524) (layer B.Cu) (net 14)) + (segment (start 133.5 57.5) (end 134.5 57.5) (width 0.1524) (layer F.Cu) (net 14)) + (via (at 134.5 57.5) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 14)) + (segment (start 129.5 59.8) (end 129.5 59.325) (width 0.1524) (layer F.Cu) (net 15)) + (segment (start 129.5 59.325) (end 131.325 57.5) (width 0.1524) (layer F.Cu) (net 15)) + (segment (start 131.325 57.5) (end 131.5 57.5) (width 0.1524) (layer F.Cu) (net 15)) + (segment (start 128.23 59.8) (end 129.5 59.8) (width 0.1524) (layer F.Cu) (net 15)) + (segment (start 128.23 59.8) (end 128.23 60.275) (width 0.1524) (layer F.Cu) (net 15)) + (segment (start 101 65.5) (end 101 66.4024) (width 0.1524) (layer F.Cu) (net 71)) + (segment (start 101 66.4024) (end 100.5 66.9024) (width 0.1524) (layer F.Cu) (net 71)) + (segment (start 100.5 66.9024) (end 100.5 67.6226) (width 0.1524) (layer F.Cu) (net 71)) + (segment (start 100.5 67.6226) (end 100.5 68.55) (width 0.1524) (layer F.Cu) (net 71)) + (segment (start 101.5 68.55) (end 101.5 67.712438) (width 0.1524) (layer F.Cu) (net 72)) + (segment (start 101.5 67.712438) (end 102.6 66.612438) (width 0.1524) (layer F.Cu) (net 72)) + (segment (start 102.6 66.612438) (end 102.6 66.4024) (width 0.1524) (layer F.Cu) (net 72)) + (segment (start 102.6 66.4024) (end 102.6 65.5) (width 0.1524) (layer F.Cu) (net 72)) + (segment (start 103.5 68.55) (end 103.5 67.6226) (width 0.1524) (layer F.Cu) (net 73)) + (segment (start 103.5 67.6226) (end 103.695799 67.426801) (width 0.1524) (layer F.Cu) (net 73)) + (segment (start 103.695799 67.426801) (end 103.695799 66.698199) (width 0.1524) (layer F.Cu) (net 73)) + (segment (start 103.695799 66.698199) (end 103.4 66.4024) (width 0.1524) (layer F.Cu) (net 73)) + (segment (start 103.4 66.4024) (end 103.4 65.5) (width 0.1524) (layer F.Cu) (net 73)) + (segment (start 105.55 67) (end 105.55 68.5) (width 0.1524) (layer F.Cu) (net 74)) + (segment (start 105.55 68.5) (end 105.5 68.55) (width 0.1524) (layer F.Cu) (net 74)) + (segment (start 105.4 64.3) (end 105.4 66.85) (width 0.1524) (layer B.Cu) (net 74)) + (segment (start 105.4 66.85) (end 105.55 67) (width 0.1524) (layer B.Cu) (net 74)) + (via (at 105.55 67) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 74)) + (segment (start 105 53.5) (end 105 63.9) (width 0.1524) (layer F.Cu) (net 74)) + (segment (start 105 63.9) (end 105.4 64.3) (width 0.1524) (layer F.Cu) (net 74)) + (via (at 105.4 64.3) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 74)) + (segment (start 107.2 67) (end 107.504799 67.304799) (width 0.1524) (layer F.Cu) (net 75)) + (segment (start 107.504799 67.304799) (end 107.504799 68.545201) (width 0.1524) (layer F.Cu) (net 75)) + (segment (start 107.504799 68.545201) (end 107.5 68.55) (width 0.1524) (layer F.Cu) (net 75)) + (segment (start 107 64.3) (end 107 66.8) (width 0.1524) (layer B.Cu) (net 75)) + (via (at 107.2 67) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 75)) + (segment (start 107 66.8) (end 107.2 67) (width 0.1524) (layer B.Cu) (net 75)) + (segment (start 105.8 53.5) (end 105.8 63.1) (width 0.1524) (layer F.Cu) (net 75)) + (segment (start 105.8 63.1) (end 107 64.3) (width 0.1524) (layer F.Cu) (net 75)) + (via (at 107 64.3) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 75)) + (segment (start 108.5 68.55) (end 108.5 67.070486) (width 0.1524) (layer F.Cu) (net 76)) + (segment (start 108.5 67.070486) (end 108.2 66.770486) (width 0.1524) (layer F.Cu) (net 76)) + (segment (start 108.2 66.770486) (end 108.2 66.4024) (width 0.1524) (layer F.Cu) (net 76)) + (segment (start 108.2 66.4024) (end 108.2 65.5) (width 0.1524) (layer F.Cu) (net 76)) + (segment (start 111 66.993196) (end 110.972784 67.020412) (width 0.1524) (layer B.Cu) (net 78)) + (segment (start 111 67.047628) (end 110.972784 67.020412) (width 0.1524) (layer F.Cu) (net 78)) + (segment (start 111 64.3) (end 111 66.993196) (width 0.1524) (layer B.Cu) (net 78)) + (segment (start 111 68.55) (end 111 67.047628) (width 0.1524) (layer F.Cu) (net 78)) + (via (at 110.972784 67.020412) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 78)) + (segment (start 110.695201 63.995201) (end 111 64.3) (width 0.1524) (layer F.Cu) (net 78)) + (segment (start 109.8 63.1) (end 110.695201 63.995201) (width 0.1524) (layer F.Cu) (net 78)) + (segment (start 109.8 53.5) (end 109.8 63.1) (width 0.1524) (layer F.Cu) (net 78)) + (via (at 111 64.3) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 78)) + (segment (start 111.506785 66.509185) (end 111.4 66.4024) (width 0.1524) (layer F.Cu) (net 79)) + (segment (start 111.506785 67.287249) (end 111.506785 66.509185) (width 0.1524) (layer F.Cu) (net 79)) + (segment (start 112 68.55) (end 112 67.780464) (width 0.1524) (layer F.Cu) (net 79)) + (segment (start 111.4 66.4024) (end 111.4 65.5) (width 0.1524) (layer F.Cu) (net 79)) + (segment (start 112 67.780464) (end 111.506785 67.287249) (width 0.1524) (layer F.Cu) (net 79)) + (segment (start 113 68.55) (end 113 67.468086) (width 0.1524) (layer F.Cu) (net 80)) + (segment (start 112.634001 66.571563) (end 112.2 66.137562) (width 0.1524) (layer F.Cu) (net 80)) + (segment (start 113 67.468086) (end 112.634001 67.102087) (width 0.1524) (layer F.Cu) (net 80)) + (segment (start 112.634001 67.102087) (end 112.634001 66.571563) (width 0.1524) (layer F.Cu) (net 80)) + (segment (start 112.2 66.137562) (end 112.2 65.5) (width 0.1524) (layer F.Cu) (net 80)) + (segment (start 114 67.6226) (end 114 68.55) (width 0.1524) (layer F.Cu) (net 81)) + (segment (start 114.034058 66.843732) (end 114.034058 67.588542) (width 0.1524) (layer F.Cu) (net 81)) + (segment (start 113.669527 66.479201) (end 114.034058 66.843732) (width 0.1524) (layer F.Cu) (net 81)) + (segment (start 113.076801 66.479201) (end 113.669527 66.479201) (width 0.1524) (layer F.Cu) (net 81)) + (segment (start 113 65.5) (end 113 66.4024) (width 0.1524) (layer F.Cu) (net 81)) + (segment (start 113 66.4024) (end 113.076801 66.479201) (width 0.1524) (layer F.Cu) (net 81)) + (segment (start 114.034058 67.588542) (end 114 67.6226) (width 0.1524) (layer F.Cu) (net 81)) + (segment (start 113 66.137562) (end 113 65.5) (width 0.1524) (layer F.Cu) (net 81)) + (segment (start 114.5 68.55) (end 114.5 66.87776) (width 0.1524) (layer F.Cu) (net 82)) + (segment (start 114.5 66.87776) (end 113.8 66.17776) (width 0.1524) (layer F.Cu) (net 82)) + (segment (start 113.8 66.17776) (end 113.8 65.5) (width 0.1524) (layer F.Cu) (net 82)) + (segment (start 114.6 65.5) (end 114.6 66.137562) (width 0.1524) (layer F.Cu) (net 83)) + (segment (start 114.6 66.137562) (end 114.941639 66.479201) (width 0.1524) (layer F.Cu) (net 83)) + (segment (start 114.941639 66.479201) (end 115.369527 66.479201) (width 0.1524) (layer F.Cu) (net 83)) + (segment (start 115.369527 66.479201) (end 116.5 67.609674) (width 0.1524) (layer F.Cu) (net 83)) + (segment (start 116.5 67.609674) (end 116.5 68.55) (width 0.1524) (layer F.Cu) (net 83)) + (segment (start 115.4 65.5) (end 115.4 66.07776) (width 0.1524) (layer F.Cu) (net 84)) + (segment (start 115.4 66.07776) (end 117 67.67776) (width 0.1524) (layer F.Cu) (net 84)) + (segment (start 117 67.67776) (end 117 68.55) (width 0.1524) (layer F.Cu) (net 84)) + (segment (start 116.2 65.5) (end 116.2 66.412438) (width 0.1524) (layer F.Cu) (net 85)) + (segment (start 116.2 66.412438) (end 117.5 67.712438) (width 0.1524) (layer F.Cu) (net 85)) + (segment (start 117.5 67.712438) (end 117.5 68.55) (width 0.1524) (layer F.Cu) (net 85)) + (segment (start 117 65.5) (end 117 66.4024) (width 0.1524) (layer F.Cu) (net 86)) + (segment (start 117 66.4024) (end 118.445799 67.848199) (width 0.1524) (layer F.Cu) (net 86)) + (segment (start 118.445799 70.923199) (end 118.5226 71) (width 0.1524) (layer F.Cu) (net 86)) + (segment (start 118.445799 67.848199) (end 118.445799 70.923199) (width 0.1524) (layer F.Cu) (net 86)) + (segment (start 118.5226 71) (end 119.45 71) (width 0.1524) (layer F.Cu) (net 86)) + (segment (start 119.45 70.5) (end 119.45 68.420486) (width 0.1524) (layer F.Cu) (net 87)) + (segment (start 119.45 68.420486) (end 117.8 66.770486) (width 0.1524) (layer F.Cu) (net 87)) + (segment (start 117.8 66.770486) (end 117.8 66.4024) (width 0.1524) (layer F.Cu) (net 87)) + (segment (start 117.8 66.4024) (end 117.8 65.5) (width 0.1524) (layer F.Cu) (net 87)) + (segment (start 118.6 65.5) (end 118.6 67.138572) (width 0.1524) (layer F.Cu) (net 88)) + (segment (start 118.6 67.138572) (end 120.454201 68.992773) (width 0.1524) (layer F.Cu) (net 88)) + (segment (start 120.454201 68.992773) (end 120.454201 72.423199) (width 0.1524) (layer F.Cu) (net 88)) + (segment (start 120.454201 72.423199) (end 120.3774 72.5) (width 0.1524) (layer F.Cu) (net 88)) + (segment (start 120.3774 72.5) (end 119.45 72.5) (width 0.1524) (layer F.Cu) (net 88)) + (segment (start 119.4 65.5) (end 119.4 66.4024) (width 0.1524) (layer F.Cu) (net 89)) + (segment (start 119.4 66.4024) (end 120.759611 67.762011) (width 0.1524) (layer F.Cu) (net 89)) + (segment (start 120.759611 67.762011) (end 120.759611 72.617789) (width 0.1524) (layer F.Cu) (net 89)) + (segment (start 120.3774 73) (end 119.45 73) (width 0.1524) (layer F.Cu) (net 89)) + (segment (start 120.759611 72.617789) (end 120.3774 73) (width 0.1524) (layer F.Cu) (net 89)) + (segment (start 120.2 65.5) (end 120.2 66.4024) (width 0.1524) (layer F.Cu) (net 90)) + (segment (start 120.2 66.4024) (end 121.065021 67.267421) (width 0.1524) (layer F.Cu) (net 90)) + (segment (start 121.065021 67.267421) (end 121.065021 72.812379) (width 0.1524) (layer F.Cu) (net 90)) + (segment (start 121.065021 72.812379) (end 120.3774 73.5) (width 0.1524) (layer F.Cu) (net 90)) + (segment (start 120.3774 73.5) (end 119.45 73.5) (width 0.1524) (layer F.Cu) (net 90)) + (segment (start 119.45 79) (end 120.3774 79) (width 0.1524) (layer F.Cu) (net 91)) + (segment (start 120.3774 79) (end 123.336661 76.040739) (width 0.1524) (layer F.Cu) (net 91)) + (segment (start 123.336661 76.040739) (end 123.336661 57.539061) (width 0.1524) (layer F.Cu) (net 91)) + (segment (start 123.336661 57.539061) (end 120.2 54.4024) (width 0.1524) (layer F.Cu) (net 91)) + (segment (start 120.2 54.4024) (end 120.2 53.5) (width 0.1524) (layer F.Cu) (net 91)) + (segment (start 119.4 53.5) (end 119.4 54.4024) (width 0.1524) (layer F.Cu) (net 92)) + (segment (start 123.031251 58.033651) (end 123.031251 75.846149) (width 0.1524) (layer F.Cu) (net 92)) + (segment (start 119.4 54.4024) (end 123.031251 58.033651) (width 0.1524) (layer F.Cu) (net 92)) + (segment (start 123.031251 75.846149) (end 120.3774 78.5) (width 0.1524) (layer F.Cu) (net 92)) + (segment (start 120.3774 78.5) (end 119.45 78.5) (width 0.1524) (layer F.Cu) (net 92)) + (segment (start 119.45 78) (end 120.3774 78) (width 0.1524) (layer F.Cu) (net 93)) + (segment (start 120.3774 78) (end 122.725841 75.651559) (width 0.1524) (layer F.Cu) (net 93)) + (segment (start 122.725841 75.651559) (end 122.725841 58.528241) (width 0.1524) (layer F.Cu) (net 93)) + (segment (start 122.725841 58.528241) (end 118.6 54.4024) (width 0.1524) (layer F.Cu) (net 93)) + (segment (start 118.6 54.4024) (end 118.6 53.5) (width 0.1524) (layer F.Cu) (net 93)) + (segment (start 117.8 53.5) (end 117.8 54.4024) (width 0.1524) (layer F.Cu) (net 94)) + (segment (start 117.8 54.4024) (end 122.420431 59.022831) (width 0.1524) (layer F.Cu) (net 94)) + (segment (start 122.420431 59.022831) (end 122.420431 75.456969) (width 0.1524) (layer F.Cu) (net 94)) + (segment (start 122.420431 75.456969) (end 120.3774 77.5) (width 0.1524) (layer F.Cu) (net 94)) + (segment (start 120.3774 77.5) (end 119.45 77.5) (width 0.1524) (layer F.Cu) (net 94)) + (segment (start 119.45 75) (end 120.3774 75) (width 0.1524) (layer F.Cu) (net 95)) + (segment (start 120.3774 75) (end 122.115021 73.262379) (width 0.1524) (layer F.Cu) (net 95)) + (segment (start 122.115021 73.262379) (end 122.115021 59.517421) (width 0.1524) (layer F.Cu) (net 95)) + (segment (start 122.115021 59.517421) (end 117 54.4024) (width 0.1524) (layer F.Cu) (net 95)) + (segment (start 117 54.4024) (end 117 53.5) (width 0.1524) (layer F.Cu) (net 95)) + (segment (start 116.2 53.5) (end 116.2 54.4024) (width 0.1524) (layer F.Cu) (net 96)) + (segment (start 116.2 54.4024) (end 121.809611 60.012011) (width 0.1524) (layer F.Cu) (net 96)) + (segment (start 120.3774 74.5) (end 119.45 74.5) (width 0.1524) (layer F.Cu) (net 96)) + (segment (start 121.809611 60.012011) (end 121.809611 73.067789) (width 0.1524) (layer F.Cu) (net 96)) + (segment (start 121.809611 73.067789) (end 120.3774 74.5) (width 0.1524) (layer F.Cu) (net 96)) + (segment (start 119.45 74) (end 120.3774 74) (width 0.1524) (layer F.Cu) (net 97)) + (segment (start 120.3774 74) (end 121.504201 72.873199) (width 0.1524) (layer F.Cu) (net 97)) + (segment (start 121.504201 72.873199) (end 121.504201 60.506601) (width 0.1524) (layer F.Cu) (net 97)) + (segment (start 121.504201 60.506601) (end 115.4 54.4024) (width 0.1524) (layer F.Cu) (net 97)) + (segment (start 115.4 54.4024) (end 115.4 53.5) (width 0.1524) (layer F.Cu) (net 97)) + (segment (start 116 68.55) (end 116 67.712438) (width 0.1524) (layer F.Cu) (net 99)) + (segment (start 116 67.712438) (end 115.692361 67.404799) (width 0.1524) (layer F.Cu) (net 99)) + (segment (start 115.692361 67.404799) (end 115.504799 67.404799) (width 0.1524) (layer F.Cu) (net 99)) + (segment (start 115.504799 67.404799) (end 115.2 67.1) (width 0.1524) (layer F.Cu) (net 99)) + (segment (start 114.2 66.1) (end 114.895201 66.795201) (width 0.1524) (layer B.Cu) (net 99)) + (segment (start 114.2 64.3) (end 114.2 66.1) (width 0.1524) (layer B.Cu) (net 99)) + (segment (start 114.895201 66.795201) (end 115.2 67.1) (width 0.1524) (layer B.Cu) (net 99)) + (via (at 115.2 67.1) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 99)) + (segment (start 113.8 53.5) (end 113.8 63.9) (width 0.1524) (layer F.Cu) (net 99)) + (segment (start 113.8 63.9) (end 114.2 64.3) (width 0.1524) (layer F.Cu) (net 99)) + (via (at 114.2 64.3) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 99)) + (segment (start 113.4 66.999974) (end 113.5 67.099974) (width 0.1524) (layer B.Cu) (net 100)) + (segment (start 113.5 68.55) (end 113.5 67.099974) (width 0.1524) (layer F.Cu) (net 100)) + (via (at 113.5 67.099974) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 100)) + (segment (start 113.4 64.3) (end 113.4 66.999974) (width 0.1524) (layer B.Cu) (net 100)) + (segment (start 113 53.5) (end 113 63.9) (width 0.1524) (layer F.Cu) (net 100)) + (segment (start 113 63.9) (end 113.4 64.3) (width 0.1524) (layer F.Cu) (net 100)) + (via (at 113.4 64.3) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 100)) + (segment (start 111.8 66.7) (end 112.1 67) (width 0.1524) (layer B.Cu) (net 101)) + (via (at 112.1 67) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 101)) + (segment (start 112.404799 67.304799) (end 112.1 67) (width 0.1524) (layer F.Cu) (net 101)) + (segment (start 112.5 68.55) (end 112.5 67.4) (width 0.1524) (layer F.Cu) (net 101)) + (segment (start 111.8 64.3) (end 111.8 66.7) (width 0.1524) (layer B.Cu) (net 101)) + (segment (start 112.5 67.4) (end 112.404799 67.304799) (width 0.1524) (layer F.Cu) (net 101)) + (segment (start 111.8 64.3) (end 111.8 63.868948) (width 0.1524) (layer F.Cu) (net 101)) + (segment (start 111.8 63.868948) (end 112.2 63.468948) (width 0.1524) (layer F.Cu) (net 101)) + (segment (start 112.2 63.468948) (end 112.2 54.4024) (width 0.1524) (layer F.Cu) (net 101)) + (segment (start 112.2 54.4024) (end 112.2 53.5) (width 0.1524) (layer F.Cu) (net 101)) + (via (at 111.8 64.3) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 101)) + (segment (start 109.8 65.5) (end 109.8 66.845799) (width 0.1524) (layer F.Cu) (net 103)) + (segment (start 109.8 66.845799) (end 110 67.045799) (width 0.1524) (layer F.Cu) (net 103)) + (segment (start 110 67.6226) (end 110 68.55) (width 0.1524) (layer F.Cu) (net 103)) + (segment (start 110 67.045799) (end 110 67.6226) (width 0.1524) (layer F.Cu) (net 103)) + (segment (start 110 65.7) (end 109.8 65.5) (width 0.1524) (layer F.Cu) (net 103)) + (segment (start 107.4 53.5) (end 107.4 63.1) (width 0.1524) (layer F.Cu) (net 104)) + (segment (start 107.4 63.1) (end 108.6 64.3) (width 0.1524) (layer F.Cu) (net 104)) + (via (at 108.6 64.3) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 104)) + (segment (start 107.4 65.5) (end 107.4 66.4024) (width 0.1524) (layer F.Cu) (net 105)) + (segment (start 107.4 66.4024) (end 108 67.0024) (width 0.1524) (layer F.Cu) (net 105)) + (segment (start 108 67.0024) (end 108 67.6226) (width 0.1524) (layer F.Cu) (net 105)) + (segment (start 108 67.6226) (end 108 68.55) (width 0.1524) (layer F.Cu) (net 105)) + (segment (start 107 68.55) (end 107 67.6226) (width 0.1524) (layer F.Cu) (net 106)) + (segment (start 107 67.6226) (end 105.8 66.4226) (width 0.1524) (layer F.Cu) (net 106)) + (segment (start 105.8 66.4226) (end 105.8 66.4024) (width 0.1524) (layer F.Cu) (net 106)) + (segment (start 105.8 66.4024) (end 105.8 65.5) (width 0.1524) (layer F.Cu) (net 106)) + (segment (start 105 68.55) (end 105 65.5) (width 0.1524) (layer F.Cu) (net 107)) + (segment (start 103 67) (end 103 68.55) (width 0.1524) (layer F.Cu) (net 108)) + (segment (start 103 64.3) (end 103 67) (width 0.1524) (layer B.Cu) (net 108)) + (via (at 103 67) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 108)) + (segment (start 103.4 53.5) (end 103.4 63.9) (width 0.1524) (layer F.Cu) (net 108)) + (segment (start 103.4 63.9) (end 103 64.3) (width 0.1524) (layer F.Cu) (net 108)) + (via (at 103 64.3) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 108)) + (segment (start 101.4 66.999992) (end 101 67.399992) (width 0.1524) (layer F.Cu) (net 109)) + (segment (start 101 67.399992) (end 101 68.55) (width 0.1524) (layer F.Cu) (net 109)) + (segment (start 101.4 67) (end 101.4 66.999992) (width 0.1524) (layer B.Cu) (net 109)) + (segment (start 101.4 64.3) (end 101.4 67) (width 0.1524) (layer B.Cu) (net 109)) + (segment (start 101.4 66.9) (end 101.4 67) (width 0.1524) (layer B.Cu) (net 109)) + (via (at 101.4 66.999992) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 109)) + (segment (start 102.6 53.5) (end 102.6 63.1) (width 0.1524) (layer F.Cu) (net 109)) + (via (at 101.4 64.3) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 109)) + (segment (start 102.6 63.1) (end 101.4 64.3) (width 0.1524) (layer F.Cu) (net 109)) + (segment (start 118.610822 104.945138) (end 120.565684 106.9) (width 0.1524) (layer F.Cu) (net 111)) + (segment (start 120.5976 106.9) (end 121.45 106.9) (width 0.1524) (layer F.Cu) (net 111)) + (segment (start 120.760821 86.383421) (end 120.760821 93.803011) (width 0.1524) (layer F.Cu) (net 111)) + (segment (start 120.565684 106.9) (end 120.5976 106.9) (width 0.1524) (layer F.Cu) (net 111)) + (segment (start 120.760821 93.803011) (end 118.610822 95.95301) (width 0.1524) (layer F.Cu) (net 111)) + (segment (start 118.610822 95.95301) (end 118.610822 104.945138) (width 0.1524) (layer F.Cu) (net 111)) + (segment (start 120.3774 86) (end 120.760821 86.383421) (width 0.1524) (layer F.Cu) (net 111)) + (segment (start 119.45 86) (end 120.3774 86) (width 0.1524) (layer F.Cu) (net 111)) + (segment (start 118.916233 104.818633) (end 120.4976 106.4) (width 0.1524) (layer F.Cu) (net 112)) + (segment (start 118.916233 96.079515) (end 118.916233 104.818633) (width 0.1524) (layer F.Cu) (net 112)) + (segment (start 120.4976 106.4) (end 121.4 106.4) (width 0.1524) (layer F.Cu) (net 112)) + (segment (start 121.066231 93.929517) (end 118.916233 96.079515) (width 0.1524) (layer F.Cu) (net 112)) + (segment (start 121.066231 86.188831) (end 121.066231 93.929517) (width 0.1524) (layer F.Cu) (net 112)) + (segment (start 120.3774 85.5) (end 121.066231 86.188831) (width 0.1524) (layer F.Cu) (net 112)) + (segment (start 119.45 85.5) (end 120.3774 85.5) (width 0.1524) (layer F.Cu) (net 112)) + (segment (start 121.389178 93.276312) (end 121.644652 93.531786) (width 0.1524) (layer F.Cu) (net 113)) + (segment (start 120.100473 95.075965) (end 121.339853 93.836585) (width 0.1524) (layer B.Cu) (net 113)) + (segment (start 120.3774 85) (end 121.389178 86.011778) (width 0.1524) (layer F.Cu) (net 113)) + (segment (start 120.100473 95.650473) (end 120.100473 95.075965) (width 0.1524) (layer B.Cu) (net 113)) + (segment (start 119.45 85) (end 120.3774 85) (width 0.1524) (layer F.Cu) (net 113)) + (segment (start 121.389178 86.011778) (end 121.389178 93.276312) (width 0.1524) (layer F.Cu) (net 113)) + (via (at 121.644652 93.531786) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 113)) + (segment (start 121.339853 93.836585) (end 121.644652 93.531786) (width 0.1524) (layer B.Cu) (net 113)) + (segment (start 120.4976 105.9) (end 119.221643 104.624043) (width 0.1524) (layer F.Cu) (net 113)) + (segment (start 119.221643 104.624043) (end 119.221643 96.529303) (width 0.1524) (layer F.Cu) (net 113)) + (segment (start 119.221643 96.529303) (end 120.100473 95.650473) (width 0.1524) (layer F.Cu) (net 113)) + (segment (start 121.4 105.9) (end 120.4976 105.9) (width 0.1524) (layer F.Cu) (net 113)) + (via (at 120.100473 95.650473) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 113)) + (segment (start 122.25 93.818948) (end 122.25 94.25) (width 0.1524) (layer F.Cu) (net 114)) + (segment (start 120.3774 84.5) (end 121.694589 85.817189) (width 0.1524) (layer F.Cu) (net 114)) + (segment (start 121.694589 92.826505) (end 122.25 93.381916) (width 0.1524) (layer F.Cu) (net 114)) + (segment (start 121.694589 85.817189) (end 121.694589 92.826505) (width 0.1524) (layer F.Cu) (net 114)) + (segment (start 122.25 93.381916) (end 122.25 93.818948) (width 0.1524) (layer F.Cu) (net 114)) + (segment (start 119.45 84.5) (end 120.3774 84.5) (width 0.1524) (layer F.Cu) (net 114)) + (segment (start 120.1 96.5) (end 122.25 94.35) (width 0.1524) (layer B.Cu) (net 114)) + (segment (start 122.25 94.35) (end 122.25 94.25) (width 0.1524) (layer B.Cu) (net 114)) + (via (at 122.25 94.25) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 114)) + (segment (start 119.527053 104.329615) (end 119.527053 97.072947) (width 0.1524) (layer F.Cu) (net 114)) + (segment (start 119.527053 97.072947) (end 120.1 96.5) (width 0.1524) (layer F.Cu) (net 114)) + (via (at 120.1 96.5) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 114)) + (segment (start 121.4 105.4) (end 120.597438 105.4) (width 0.1524) (layer F.Cu) (net 114)) + (segment (start 120.597438 105.4) (end 119.527053 104.329615) (width 0.1524) (layer F.Cu) (net 114)) + (segment (start 122 85.6226) (end 120.3774 84) (width 0.1524) (layer F.Cu) (net 115)) + (segment (start 122.8 93.5) (end 122 92.7) (width 0.1524) (layer F.Cu) (net 115)) + (segment (start 122 92.7) (end 122 85.6226) (width 0.1524) (layer F.Cu) (net 115)) + (segment (start 120.3774 84) (end 119.45 84) (width 0.1524) (layer F.Cu) (net 115)) + (segment (start 120.1 97.35) (end 122.784001 94.665999) (width 0.1524) (layer B.Cu) (net 115)) + (via (at 122.8 93.5) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 115)) + (segment (start 122.784001 94.665999) (end 122.784001 93.515999) (width 0.1524) (layer B.Cu) (net 115)) + (segment (start 122.784001 93.515999) (end 122.8 93.5) (width 0.1524) (layer B.Cu) (net 115)) + (segment (start 121.4 104.9) (end 120.597438 104.9) (width 0.1524) (layer F.Cu) (net 115)) + (segment (start 120.597438 104.9) (end 120.1 104.402562) (width 0.1524) (layer F.Cu) (net 115)) + (segment (start 120.1 104.402562) (end 120.1 97.35) (width 0.1524) (layer F.Cu) (net 115)) + (via (at 120.1 97.35) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 115)) + (segment (start 117.25 88.7) (end 115.7 88.7) (width 0.1524) (layer F.Cu) (net 119)) + (segment (start 114 87) (end 114 85) (width 0.1524) (layer F.Cu) (net 119)) + (segment (start 115.7 88.7) (end 114 87) (width 0.1524) (layer F.Cu) (net 119)) + (segment (start 117.25 88.7) (end 117.25 91.25) (width 0.1524) (layer B.Cu) (net 119)) + (segment (start 117.25 91.25) (end 117.5 91.5) (width 0.1524) (layer B.Cu) (net 119)) + (via (at 117.25 88.7) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 119)) + (segment (start 116 93) (end 116 92.197438) (width 0.1524) (layer F.Cu) (net 119)) + (segment (start 116 92.197438) (end 116.176648 92.02079) (width 0.1524) (layer F.Cu) (net 119)) + (segment (start 117.195201 91.804799) (end 117.5 91.5) (width 0.1524) (layer F.Cu) (net 119)) + (segment (start 116.176648 92.02079) (end 116.97921 92.02079) (width 0.1524) (layer F.Cu) (net 119)) + (segment (start 116.97921 92.02079) (end 117.195201 91.804799) (width 0.1524) (layer F.Cu) (net 119)) + (via (at 117.5 91.5) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 119)) + (segment (start 114 83.4) (end 114 85) (width 0.1524) (layer B.Cu) (net 119)) + (via (at 114 85) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 119)) + (segment (start 113.5 92.0976) (end 113.5 93) (width 0.1524) (layer F.Cu) (net 123)) + (segment (start 113.5 91.709676) (end 113.5 92.0976) (width 0.1524) (layer F.Cu) (net 123)) + (segment (start 114.5 90.709676) (end 113.5 91.709676) (width 0.1524) (layer F.Cu) (net 123)) + (segment (start 114.5 89.95) (end 114.5 90.709676) (width 0.1524) (layer F.Cu) (net 123)) + (segment (start 114 90.77776) (end 114 89.95) (width 0.1524) (layer F.Cu) (net 124)) + (segment (start 113 93) (end 113 91.77776) (width 0.1524) (layer F.Cu) (net 124)) + (segment (start 113 91.77776) (end 114 90.77776) (width 0.1524) (layer F.Cu) (net 124)) + (segment (start 112.5 89.95) (end 112.5 93) (width 0.1524) (layer F.Cu) (net 125)) + (segment (start 112 93) (end 112 92.0976) (width 0.1524) (layer F.Cu) (net 126)) + (segment (start 112 92.0976) (end 112 89.95) (width 0.1524) (layer F.Cu) (net 126)) + (segment (start 111.5 89.95) (end 111.5 93) (width 0.1524) (layer F.Cu) (net 127)) + (segment (start 111 93) (end 111 89.95) (width 0.1524) (layer F.Cu) (net 128)) + (segment (start 110.5 89.95) (end 110.5 93) (width 0.1524) (layer F.Cu) (net 129)) + (segment (start 110 93) (end 110 89.95) (width 0.1524) (layer F.Cu) (net 130)) + (segment (start 109 89.95) (end 109 90.8774) (width 0.1524) (layer F.Cu) (net 131)) + (segment (start 109 90.8774) (end 109.5 91.3774) (width 0.1524) (layer F.Cu) (net 131)) + (segment (start 109.5 91.3774) (end 109.5 92.0976) (width 0.1524) (layer F.Cu) (net 131)) + (segment (start 109.5 92.0976) (end 109.5 93) (width 0.1524) (layer F.Cu) (net 131)) + (segment (start 105.6 96.9) (end 104.797438 96.9) (width 0.1524) (layer F.Cu) (net 138)) + (segment (start 106 90.8774) (end 106 89.95) (width 0.1524) (layer F.Cu) (net 138)) + (segment (start 104.797438 96.9) (end 104.620799 96.723361) (width 0.1524) (layer F.Cu) (net 138)) + (segment (start 104.620799 96.723361) (end 104.620799 92.256601) (width 0.1524) (layer F.Cu) (net 138)) + (segment (start 104.620799 92.256601) (end 106 90.8774) (width 0.1524) (layer F.Cu) (net 138)) + (segment (start 105.6 97.4) (end 104.6976 97.4) (width 0.1524) (layer F.Cu) (net 139)) + (segment (start 104.6976 97.4) (end 104.315389 97.017789) (width 0.1524) (layer F.Cu) (net 139)) + (segment (start 104.315389 97.017789) (end 104.315389 92.130097) (width 0.1524) (layer F.Cu) (net 139)) + (segment (start 104.315389 92.130097) (end 105.5 90.945486) (width 0.1524) (layer F.Cu) (net 139)) + (segment (start 105.5 90.945486) (end 105.5 89.95) (width 0.1524) (layer F.Cu) (net 139)) + (segment (start 105.6 97.9) (end 104.765686 97.9) (width 0.1524) (layer F.Cu) (net 140)) + (segment (start 104.765686 97.9) (end 104.009979 97.144293) (width 0.1524) (layer F.Cu) (net 140)) + (segment (start 105 91.013572) (end 105 90.8774) (width 0.1524) (layer F.Cu) (net 140)) + (segment (start 104.009979 97.144293) (end 104.009979 92.003593) (width 0.1524) (layer F.Cu) (net 140)) + (segment (start 104.009979 92.003593) (end 105 91.013572) (width 0.1524) (layer F.Cu) (net 140)) + (segment (start 105 90.8774) (end 105 89.95) (width 0.1524) (layer F.Cu) (net 140)) + (segment (start 102 89.95) (end 102 95.602562) (width 0.1524) (layer F.Cu) (net 141)) + (segment (start 102 95.602562) (end 104.797438 98.4) (width 0.1524) (layer F.Cu) (net 141)) + (segment (start 104.797438 98.4) (end 105.6 98.4) (width 0.1524) (layer F.Cu) (net 141)) + (segment (start 105.6 98.9) (end 104.797438 98.9) (width 0.1524) (layer F.Cu) (net 142)) + (segment (start 104.797438 98.9) (end 100.379201 94.481763) (width 0.1524) (layer F.Cu) (net 142)) + (segment (start 100.379201 94.481763) (end 100.379201 90.070799) (width 0.1524) (layer F.Cu) (net 142)) + (segment (start 100.379201 90.070799) (end 100.5 89.95) (width 0.1524) (layer F.Cu) (net 142)) + (segment (start 105.6 99.4) (end 104.797438 99.4) (width 0.1524) (layer F.Cu) (net 143)) + (segment (start 104.797438 99.4) (end 100 94.602562) (width 0.1524) (layer F.Cu) (net 143)) + (segment (start 100 94.602562) (end 100 90.8774) (width 0.1524) (layer F.Cu) (net 143)) + (segment (start 100 90.8774) (end 100 89.95) (width 0.1524) (layer F.Cu) (net 143)) + (segment (start 106.5 89.95) (end 106.5 91.013572) (width 0.1524) (layer F.Cu) (net 144)) + (segment (start 106.5 91.013572) (end 106.214569 91.299003) (width 0.1524) (layer F.Cu) (net 144)) + (segment (start 106.214569 94.312007) (end 106.579201 94.676639) (width 0.1524) (layer F.Cu) (net 144)) + (segment (start 106.214569 91.299003) (end 106.214569 94.312007) (width 0.1524) (layer F.Cu) (net 144)) + (segment (start 106.579201 94.676639) (end 106.579201 99.823199) (width 0.1524) (layer F.Cu) (net 144)) + (segment (start 106.579201 99.823199) (end 106.5024 99.9) (width 0.1524) (layer F.Cu) (net 144)) + (segment (start 106.5024 99.9) (end 105.6 99.9) (width 0.1524) (layer F.Cu) (net 144)) + (segment (start 105.6 100.4) (end 106.5024 100.4) (width 0.1524) (layer F.Cu) (net 145)) + (segment (start 106.519979 91.425507) (end 106.519979 94.086369) (width 0.1524) (layer F.Cu) (net 145)) + (segment (start 107 90.945486) (end 106.519979 91.425507) (width 0.1524) (layer F.Cu) (net 145)) + (segment (start 107 89.95) (end 107 90.945486) (width 0.1524) (layer F.Cu) (net 145)) + (segment (start 106.884611 94.451001) (end 106.519979 94.086369) (width 0.1524) (layer F.Cu) (net 145)) + (segment (start 106.884611 100.017789) (end 106.884611 94.451001) (width 0.1524) (layer F.Cu) (net 145)) + (segment (start 106.5024 100.4) (end 106.884611 100.017789) (width 0.1524) (layer F.Cu) (net 145)) + (segment (start 106.825389 93.959865) (end 108.3 95.434476) (width 0.1524) (layer F.Cu) (net 148)) + (segment (start 108.3 95.434476) (end 108.965524 96.1) (width 0.1524) (layer F.Cu) (net 148)) + (segment (start 110 108.8) (end 110 97.134476) (width 0.1524) (layer F.Cu) (net 148)) + (segment (start 110 97.134476) (end 108.3 95.434476) (width 0.1524) (layer F.Cu) (net 148)) + (segment (start 107.5 89.95) (end 107.5 90.8774) (width 0.1524) (layer F.Cu) (net 148)) + (segment (start 107.5 90.8774) (end 106.825389 91.552011) (width 0.1524) (layer F.Cu) (net 148)) + (segment (start 106.825389 91.552011) (end 106.825389 93.959865) (width 0.1524) (layer F.Cu) (net 148)) + (segment (start 110.5 108.8) (end 110.5 107.8976) (width 0.1524) (layer F.Cu) (net 149)) + (segment (start 110.5 107.8976) (end 110.30541 107.70301) (width 0.1524) (layer F.Cu) (net 149)) + (segment (start 110.30541 107.70301) (end 110.30541 97.007972) (width 0.1524) (layer F.Cu) (net 149)) + (segment (start 110.30541 97.007972) (end 107.130799 93.833361) (width 0.1524) (layer F.Cu) (net 149)) + (segment (start 107.130799 93.833361) (end 107.130799 91.746601) (width 0.1524) (layer F.Cu) (net 149)) + (segment (start 107.130799 91.746601) (end 108 90.8774) (width 0.1524) (layer F.Cu) (net 149)) + (segment (start 108 90.8774) (end 108 89.95) (width 0.1524) (layer F.Cu) (net 149)) + (segment (start 103.5 89.95) (end 103.5 89.0226) (width 0.1524) (layer F.Cu) (net 150)) + (segment (start 103.5 89.0226) (end 103.7726 88.75) (width 0.1524) (layer F.Cu) (net 150)) + (segment (start 103.7726 88.75) (end 111.231695 88.75) (width 0.1524) (layer F.Cu) (net 150)) + (segment (start 111.231695 88.75) (end 111.25442 88.727275) (width 0.1524) (layer F.Cu) (net 150)) + (segment (start 111.25 94.25) (end 111.25 88.731695) (width 0.1524) (layer B.Cu) (net 150)) + (segment (start 111.25 88.731695) (end 111.25442 88.727275) (width 0.1524) (layer B.Cu) (net 150)) + (via (at 111.25442 88.727275) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 150)) + (segment (start 111.5 108.8) (end 111.5 94.5) (width 0.1524) (layer F.Cu) (net 150)) + (segment (start 111.5 94.5) (end 111.25 94.25) (width 0.1524) (layer F.Cu) (net 150)) + (via (at 111.25 94.25) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 150)) + (segment (start 103 89.95) (end 103 89.0226) (width 0.1524) (layer F.Cu) (net 151)) + (segment (start 103 89.0226) (end 104.0226 88) (width 0.1524) (layer F.Cu) (net 151)) + (segment (start 104.0226 88) (end 111.75 88) (width 0.1524) (layer F.Cu) (net 151)) + (segment (start 111.75 88) (end 112.25 88.5) (width 0.1524) (layer F.Cu) (net 151)) + (segment (start 112.25 88.5) (end 112.25 88.722856) (width 0.1524) (layer F.Cu) (net 151)) + (segment (start 112.25 88.722856) (end 112.254418 88.727274) (width 0.1524) (layer F.Cu) (net 151)) + (segment (start 112.25 88.731692) (end 112.254418 88.727274) (width 0.1524) (layer B.Cu) (net 151)) + (segment (start 112.25 94.25) (end 112.25 88.731692) (width 0.1524) (layer B.Cu) (net 151)) + (via (at 112.254418 88.727274) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 151)) + (segment (start 112 108.8) (end 112 94.5) (width 0.1524) (layer F.Cu) (net 151)) + (segment (start 112 94.5) (end 112.25 94.25) (width 0.1524) (layer F.Cu) (net 151)) + (via (at 112.25 94.25) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 151)) + (segment (start 102.96599 89.98401) (end 103 89.95) (width 0.1524) (layer F.Cu) (net 151)) + (segment (start 114.250014 91.8) (end 115.095813 90.954201) (width 0.1524) (layer F.Cu) (net 152)) + (segment (start 115.095813 90.954201) (end 115.766031 90.954201) (width 0.1524) (layer F.Cu) (net 152)) + (segment (start 115.766031 90.954201) (end 116 90.720232) (width 0.1524) (layer F.Cu) (net 152)) + (segment (start 116 90.720232) (end 116 89.95) (width 0.1524) (layer F.Cu) (net 152)) + (segment (start 113.737387 92.312627) (end 114.250014 91.8) (width 0.1524) (layer B.Cu) (net 152)) + (segment (start 113.737387 94.25) (end 113.737387 92.312627) (width 0.1524) (layer B.Cu) (net 152)) + (via (at 114.250014 91.8) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 152)) + (segment (start 114.25 91.800014) (end 114.250014 91.8) (width 0.1524) (layer B.Cu) (net 152)) + (segment (start 113.432588 94.554799) (end 113.737387 94.25) (width 0.1524) (layer F.Cu) (net 152)) + (segment (start 112.5 95.487387) (end 113.432588 94.554799) (width 0.1524) (layer F.Cu) (net 152)) + (segment (start 112.5 108.8) (end 112.5 95.487387) (width 0.1524) (layer F.Cu) (net 152)) + (via (at 113.737387 94.25) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 152)) + (segment (start 114.5 94.25) (end 114.5 92.342176) (width 0.1524) (layer B.Cu) (net 153)) + (segment (start 114.5 92.342176) (end 115.05 91.792176) (width 0.1524) (layer B.Cu) (net 153)) + (segment (start 114.195201 94.554799) (end 114.5 94.25) (width 0.1524) (layer F.Cu) (net 153)) + (segment (start 113 95.75) (end 114.195201 94.554799) (width 0.1524) (layer F.Cu) (net 153)) + (via (at 114.5 94.25) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 153)) + (segment (start 113 108.8) (end 113 95.75) (width 0.1524) (layer F.Cu) (net 153)) + (segment (start 116.5 90.719478) (end 116.5 89.95) (width 0.1524) (layer F.Cu) (net 153)) + (segment (start 115.959867 91.259611) (end 116.5 90.719478) (width 0.1524) (layer F.Cu) (net 153)) + (segment (start 115.582565 91.259611) (end 115.959867 91.259611) (width 0.1524) (layer F.Cu) (net 153)) + (segment (start 115.05 91.792176) (end 115.582565 91.259611) (width 0.1524) (layer F.Cu) (net 153)) + (via (at 115.05 91.792176) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 153)) + (segment (start 115.3 94.25) (end 115.3 92.4) (width 0.1524) (layer B.Cu) (net 154)) + (segment (start 115.3 92.4) (end 116.2 91.5) (width 0.1524) (layer B.Cu) (net 154)) + (segment (start 116.2 91.5) (end 116.2 91.486789) (width 0.1524) (layer B.Cu) (net 154)) + (segment (start 116.2 91.486789) (end 116.6 91.486789) (width 0.1524) (layer B.Cu) (net 154)) + (segment (start 116.6 91.486789) (end 117 91.086789) (width 0.1524) (layer F.Cu) (net 154)) + (segment (start 117 91.086789) (end 117 89.95) (width 0.1524) (layer F.Cu) (net 154)) + (via (at 116.6 91.486789) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 154)) + (segment (start 114.995201 94.554799) (end 115.3 94.25) (width 0.1524) (layer F.Cu) (net 154)) + (segment (start 113.5 96.05) (end 114.995201 94.554799) (width 0.1524) (layer F.Cu) (net 154)) + (segment (start 113.5 108.8) (end 113.5 96.05) (width 0.1524) (layer F.Cu) (net 154)) + (via (at 115.3 94.25) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 154)) + (segment (start 118.425 91.5) (end 118.5 91.5) (width 0.1524) (layer F.Cu) (net 157)) + (segment (start 117.5 90.575) (end 118.425 91.5) (width 0.1524) (layer F.Cu) (net 157)) + (segment (start 117.5 89.95) (end 117.5 90.575) (width 0.1524) (layer F.Cu) (net 157)) + (via (at 118.5 91.5) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 157)) + (segment (start 116 94.35) (end 116.1 94.25) (width 0.1524) (layer F.Cu) (net 157)) + (segment (start 116 108.8) (end 116 94.35) (width 0.1524) (layer F.Cu) (net 157)) + (via (at 116.1 94.25) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 157)) + (segment (start 119.45 88.3024) (end 119.45 88) (width 0.1524) (layer F.Cu) (net 160)) + (segment (start 118 108.8) (end 118 95.7) (width 0.1524) (layer F.Cu) (net 160)) + (segment (start 118 95.7) (end 120.15 93.55) (width 0.1524) (layer F.Cu) (net 160)) + (segment (start 120.15 93.55) (end 120.15 89.0024) (width 0.1524) (layer F.Cu) (net 160)) + (segment (start 120.15 89.0024) (end 119.45 88.3024) (width 0.1524) (layer F.Cu) (net 160)) + (segment (start 118.305411 105.071643) (end 118.5 105.266232) (width 0.1524) (layer F.Cu) (net 161)) + (segment (start 120.455411 93.676505) (end 118.305411 95.826505) (width 0.1524) (layer F.Cu) (net 161)) + (segment (start 119.45 87.5) (end 120.3774 87.5) (width 0.1524) (layer F.Cu) (net 161)) + (segment (start 118.5 105.266232) (end 118.5 107.8976) (width 0.1524) (layer F.Cu) (net 161)) + (segment (start 118.305411 95.826505) (end 118.305411 105.071643) (width 0.1524) (layer F.Cu) (net 161)) + (segment (start 120.3774 87.5) (end 120.455411 87.578011) (width 0.1524) (layer F.Cu) (net 161)) + (segment (start 118.5 107.8976) (end 118.5 108.8) (width 0.1524) (layer F.Cu) (net 161)) + (segment (start 120.455411 87.578011) (end 120.455411 93.676505) (width 0.1524) (layer F.Cu) (net 161)) + (segment (start 119.45 83.5) (end 123.534314 83.5) (width 0.1524) (layer F.Cu) (net 162)) + (segment (start 123.534314 83.5) (end 131.879201 75.155113) (width 0.1524) (layer F.Cu) (net 162)) + (segment (start 131.879201 75.155113) (end 131.879201 68.681601) (width 0.1524) (layer F.Cu) (net 162)) + (segment (start 131.879201 68.681601) (end 131.45 68.2524) (width 0.1524) (layer F.Cu) (net 162)) + (segment (start 131.45 68.2524) (end 131.45 67.5) (width 0.1524) (layer F.Cu) (net 162)) + (segment (start 128.2 74.4) (end 128.2 75.1524) (width 0.1524) (layer F.Cu) (net 163)) + (segment (start 128.2 75.1524) (end 122.8524 80.5) (width 0.1524) (layer F.Cu) (net 163)) + (segment (start 122.8524 80.5) (end 120.8774 80.5) (width 0.1524) (layer F.Cu) (net 163)) + (segment (start 120.8774 80.5) (end 120.3774 81) (width 0.1524) (layer F.Cu) (net 163)) + (segment (start 120.3774 81) (end 119.45 81) (width 0.1524) (layer F.Cu) (net 163)) + (segment (start 119.45 83) (end 123.6024 83) (width 0.1524) (layer F.Cu) (net 164)) + (segment (start 123.6024 83) (end 131.45 75.1524) (width 0.1524) (layer F.Cu) (net 164)) + (segment (start 131.45 75.1524) (end 131.45 74.4) (width 0.1524) (layer F.Cu) (net 164)) + (segment (start 130.15 75.1524) (end 130.15 74.4) (width 0.1524) (layer F.Cu) (net 165)) + (segment (start 123.3024 82) (end 130.15 75.1524) (width 0.1524) (layer F.Cu) (net 165)) + (segment (start 119.45 82) (end 123.3024 82) (width 0.1524) (layer F.Cu) (net 165)) + (segment (start 118 80.5) (end 116.5 80.5) (width 0.1524) (layer B.Cu) (net 166)) + (segment (start 119.45 80.5) (end 118 80.5) (width 0.1524) (layer F.Cu) (net 166)) + (via (at 118 80.5) (size 0.6096) (drill 0.3048) (layers F.Cu B.Cu) (net 166)) + (segment (start 127.55 74.4) (end 127.55 75.1524) (width 0.1524) (layer F.Cu) (net 167)) + (segment (start 127.55 75.1524) (end 122.7024 80) (width 0.1524) (layer F.Cu) (net 167)) + (segment (start 122.7024 80) (end 120.3774 80) (width 0.1524) (layer F.Cu) (net 167)) + (segment (start 120.3774 80) (end 119.45 80) (width 0.1524) (layer F.Cu) (net 167)) + (segment (start 119.45 79.5) (end 122.2 79.5) (width 0.1524) (layer F.Cu) (net 168)) + (segment (start 122.2 79.5) (end 126.9 74.8) (width 0.1524) (layer F.Cu) (net 168)) + (segment (start 126.9 74.8) (end 126.9 74.4) (width 0.1524) (layer F.Cu) (net 168)) + (segment (start 129.5 65.2) (end 129.5 65.675) (width 0.1524) (layer F.Cu) (net 201)) + (segment (start 129.5 65.675) (end 128.2 66.975) (width 0.1524) (layer F.Cu) (net 201)) + (segment (start 128.2 66.975) (end 128.2 67.5) (width 0.1524) (layer F.Cu) (net 201)) + (segment (start 126.9 67.5) (end 126.5476 67.5) (width 0.1524) (layer F.Cu) (net 202)) + (segment (start 129.868237 60.804201) (end 130.240799 60.804201) (width 0.1524) (layer F.Cu) (net 202)) + (segment (start 126.5476 67.5) (end 126.430799 67.383199) (width 0.1524) (layer F.Cu) (net 202)) + (segment (start 130.240799 60.804201) (end 130.77 60.275) (width 0.1524) (layer F.Cu) (net 202)) + (segment (start 126.430799 67.383199) (end 126.430799 64.241639) (width 0.1524) (layer F.Cu) (net 202)) + (segment (start 126.430799 64.241639) (end 129.868237 60.804201) (width 0.1524) (layer F.Cu) (net 202)) + (segment (start 130.77 60.275) (end 130.77 59.8) (width 0.1524) (layer F.Cu) (net 202)) + (segment (start 152.1999 58) (end 152.1999 59.662662) (width 0.1524) (layer F.Cu) (net 206)) + (segment (start 152.1999 59.662662) (end 150.25 61.612562) (width 0.1524) (layer F.Cu) (net 206)) + (segment (start 150.25 61.612562) (end 150.25 61.8976) (width 0.1524) (layer F.Cu) (net 206)) + (segment (start 150.25 61.8976) (end 150.25 62.5) (width 0.1524) (layer F.Cu) (net 206)) + (segment (start 150.25 60.5) (end 150.6524 60.5) (width 0.1524) (layer F.Cu) (net 207)) + (segment (start 150.6524 60.5) (end 151.3998 59.7526) (width 0.1524) (layer F.Cu) (net 207)) + (segment (start 151.3998 59.7526) (end 151.3998 59.30302) (width 0.1524) (layer F.Cu) (net 207)) + (segment (start 151.3998 59.30302) (end 151.3998 58) (width 0.1524) (layer F.Cu) (net 207)) + ) diff --git a/fpgna.net b/fpgna.net index b9fbe6e..18aefd2 100644 --- a/fpgna.net +++ b/fpgna.net @@ -1,7 +1,7 @@ (export (version D) (design (source /home/markus/projects/kicad/fpgna/fpgna.sch) - (date "Do 03 Mär 2016 14:26:34 CET") + (date "Do 03 Mär 2016 19:57:32 CET") (tool "Eeschema (2016-02-12 BZR 6554)-stable") (sheet (number 1) (name /) (tstamps /) (title_block @@ -263,7 +263,7 @@ (libsource (lib device) (part INDUCTOR)) (sheetpath (names /powersupply/) (tstamps /563244F7/)) (tstamp 56331D46)) - (comp (ref C240) + (comp (ref C241) (value 100n) (footprint Capacitors_SMD:C_0603) (libsource (lib device) (part C)) @@ -283,7 +283,7 @@ (libsource (lib conn) (part CONN_01X03)) (sheetpath (names /powersupply/) (tstamps /563244F7/)) (tstamp 56C22F77)) - (comp (ref R211) + (comp (ref R210) (value 2.2k) (footprint Resistors_SMD:R_0603) (fields @@ -291,13 +291,13 @@ (libsource (lib device) (part R)) (sheetpath (names /powersupply/) (tstamps /563244F7/)) (tstamp 56C24FD6)) - (comp (ref Q204) + (comp (ref Q203) (value FDC608PZ) (footprint footprints:FDC608PZ) (libsource (lib device) (part Q_PMOS_DGS)) (sheetpath (names /powersupply/) (tstamps /563244F7/)) (tstamp 56C2F230)) - (comp (ref R212) + (comp (ref R209) (value 10k) (footprint Resistors_SMD:R_0603) (libsource (lib device) (part R)) @@ -309,25 +309,19 @@ (libsource (lib device) (part D_Schottky_Small)) (sheetpath (names /powersupply/) (tstamps /563244F7/)) (tstamp 56C35CB0)) - (comp (ref R206) - (value 10k) - (footprint Resistors_SMD:R_0603) - (libsource (lib device) (part R)) - (sheetpath (names /powersupply/) (tstamps /563244F7/)) - (tstamp 56C403C0)) - (comp (ref R213) + (comp (ref R211) (value 10k) (footprint Resistors_SMD:R_0603) (libsource (lib device) (part R)) (sheetpath (names /powersupply/) (tstamps /563244F7/)) (tstamp 56C590A7)) - (comp (ref R214) + (comp (ref R212) (value 10k) (footprint Resistors_SMD:R_0603) (libsource (lib device) (part R)) (sheetpath (names /powersupply/) (tstamps /563244F7/)) (tstamp 56C1FB0E)) - (comp (ref R215) + (comp (ref R213) (value 10k) (footprint Resistors_SMD:R_0603) (libsource (lib device) (part R)) @@ -339,12 +333,6 @@ (libsource (lib device) (part ZENERsmall)) (sheetpath (names /powersupply/) (tstamps /563244F7/)) (tstamp 56C21EC8)) - (comp (ref R208) - (value 10k) - (footprint Resistors_SMD:R_0603) - (libsource (lib device) (part R)) - (sheetpath (names /powersupply/) (tstamps /563244F7/)) - (tstamp 56C292A1)) (comp (ref U203) (value LM2596/33) (footprint TO_SOT_Packages_SMD:TO-263-5Lead) @@ -390,7 +378,7 @@ (libsource (lib device) (part R)) (sheetpath (names /powersupply/) (tstamps /563244F7/)) (tstamp 56C37B0F)) - (comp (ref Q203) + (comp (ref Q204) (value BSH105) (footprint TO_SOT_Packages_SMD:SOT-23) (datasheet http://www.nxp.com/documents/data_sheet/BSH105.pdf) @@ -475,7 +463,7 @@ (libsource (lib device) (part C)) (sheetpath (names /powersupply/) (tstamps /563244F7/)) (tstamp 56C52C65)) - (comp (ref R210) + (comp (ref R208) (value 0) (footprint Resistors_SMD:R_0603) (libsource (lib device) (part R)) @@ -554,31 +542,31 @@ (libsource (lib analog) (part UDA1330ATS)) (sheetpath (names /powersupply/) (tstamps /563244F7/)) (tstamp 56CA6384)) - (comp (ref C242) - (value 100n) - (footprint Capacitors_SMD:C_0603) - (libsource (lib device) (part C)) - (sheetpath (names /powersupply/) (tstamps /563244F7/)) - (tstamp 56CA8222)) (comp (ref C243) + (value 100n) + (footprint Capacitors_SMD:C_0603) + (libsource (lib device) (part C)) + (sheetpath (names /powersupply/) (tstamps /563244F7/)) + (tstamp 56CA8222)) + (comp (ref C244) (value 100n) (footprint Capacitors_SMD:C_0603) (libsource (lib device) (part C)) (sheetpath (names /powersupply/) (tstamps /563244F7/)) (tstamp 56CA85F2)) - (comp (ref C241) + (comp (ref C242) (value 10u) (footprint Capacitors_SMD:C_0805) (libsource (lib device) (part C)) (sheetpath (names /powersupply/) (tstamps /563244F7/)) (tstamp 56CA8FB9)) - (comp (ref R207) + (comp (ref R206) (value DNP) (footprint Resistors_SMD:R_0603) (libsource (lib device) (part R)) (sheetpath (names /powersupply/) (tstamps /563244F7/)) (tstamp 56CCFDB8)) - (comp (ref R209) + (comp (ref R207) (value DNP) (footprint Resistors_SMD:R_0603) (libsource (lib device) (part R)) @@ -596,6 +584,12 @@ (libsource (lib device) (part F_Small)) (sheetpath (names /powersupply/) (tstamps /563244F7/)) (tstamp 56D79A3E)) + (comp (ref C240) + (value 100n) + (footprint Capacitors_SMD:C_0603) + (libsource (lib device) (part C)) + (sheetpath (names /powersupply/) (tstamps /563244F7/)) + (tstamp 56D8A1C6)) (comp (ref P302) (value Lattice-JTAG) (footprint Pin_Headers:Pin_Header_Angled_2x05) @@ -764,13 +758,13 @@ (libsource (lib connectors) (part Micro_SD)) (sheetpath (names /sdcard/) (tstamps /56C7DD81/)) (tstamp 56C8C648)) - (comp (ref C802) + (comp (ref C803) (value 47u) - (footprint Capacitors_SMD:c_elec_4x4.5) + (footprint Capacitors_SMD:C_0805) (libsource (lib device) (part CP_Small)) (sheetpath (names /audio/) (tstamps /56CA5D53/)) (tstamp 56CAE8FF)) - (comp (ref C801) + (comp (ref C802) (value 100n) (footprint Capacitors_SMD:C_0603) (libsource (lib device) (part C_Small)) @@ -782,13 +776,13 @@ (libsource (lib linear) (part LM358N)) (sheetpath (names /audio/) (tstamps /56CA5D53/)) (tstamp 56CB06E0)) - (comp (ref C804) + (comp (ref C805) (value 10u) (footprint Capacitors_SMD:C_0805) (libsource (lib device) (part C_Small)) (sheetpath (names /audio/) (tstamps /56CA5D53/)) (tstamp 56CB12BD)) - (comp (ref C803) + (comp (ref C804) (value 10u) (footprint Capacitors_SMD:C_0805) (libsource (lib device) (part C_Small)) @@ -818,6 +812,12 @@ (libsource (lib device) (part R)) (sheetpath (names /audio/) (tstamps /56CA5D53/)) (tstamp 56CC26E6)) + (comp (ref C801) + (value 100n) + (footprint Capacitors_SMD:C_0603) + (libsource (lib device) (part C_Small)) + (sheetpath (names /audio/) (tstamps /56CA5D53/)) + (tstamp 56D947A0)) (comp (ref SW902) (value UP) (footprint smd:Tactile_Switch) @@ -1635,58 +1635,58 @@ (pin (num 1) (name K) (type passive)) (pin (num 2) (name A) (type passive))))) (libraries - (library (logical analog) - (uri /home/markus/projects/kicad/ShimattaPCBLibs/schematics/analog/analog.lib)) - (library (logical stm32f4) - (uri /home/markus/projects/kicad/ShimattaPCBLibs/schematics/stm/stm32f4.lib)) - (library (logical pmic) - (uri /home/markus/projects/kicad/ShimattaPCBLibs/schematics/power/pmic.lib)) - (library (logical lattice) - (uri /home/markus/projects/kicad/ShimattaPCBLibs/schematics/lattice/lattice.lib)) + (library (logical fpgna-rescue) + (uri /home/markus/projects/kicad/fpgna/fpgna-rescue.lib)) + (library (logical linear) + (uri /usr/share/kicad/library/linear.lib)) (library (logical device) (uri /usr/share/kicad/library/device.lib)) (library (logical conn) (uri /usr/share/kicad/library/conn.lib)) - (library (logical linear) - (uri /usr/share/kicad/library/linear.lib)) - (library (logical regulators) - (uri /home/markus/projects/kicad/ShimattaPCBLibs/schematics/power/regulators.lib)) - (library (logical itead-displays) - (uri /home/markus/projects/kicad/ShimattaPCBLibs/schematics/shields/itead-displays.lib)) - (library (logical fpgna-rescue) - (uri /home/markus/projects/kicad/fpgna/fpgna-rescue.lib)) + (library (logical regul) + (uri /usr/share/kicad/library/regul.lib)) + (library (logical pmic) + (uri /home/markus/projects/kicad/ShimattaPCBLibs/schematics/power/pmic.lib)) (library (logical Oscillators) (uri /usr/share/kicad/library/Oscillators.lib)) + (library (logical lattice) + (uri /home/markus/projects/kicad/ShimattaPCBLibs/schematics/lattice/lattice.lib)) + (library (logical regulators) + (uri /home/markus/projects/kicad/ShimattaPCBLibs/schematics/power/regulators.lib)) (library (logical connectors) (uri /home/markus/projects/kicad/ShimattaPCBLibs/schematics/connectors/connectors.lib)) - (library (logical regul) - (uri /usr/share/kicad/library/regul.lib))) + (library (logical itead-displays) + (uri /home/markus/projects/kicad/ShimattaPCBLibs/schematics/shields/itead-displays.lib)) + (library (logical stm32f4) + (uri /home/markus/projects/kicad/ShimattaPCBLibs/schematics/stm/stm32f4.lib)) + (library (logical analog) + (uri /home/markus/projects/kicad/ShimattaPCBLibs/schematics/analog/analog.lib))) (nets (net (code 1) (name /FSMC/JRST_N) - (node (ref P303) (pin 5)) - (node (ref U202) (pin 90))) + (node (ref U202) (pin 90)) + (node (ref P303) (pin 5))) (net (code 2) (name /sdcard/SW_D) - (node (ref U202) (pin 16)) - (node (ref SW908) (pin 1))) + (node (ref SW908) (pin 1)) + (node (ref U202) (pin 16))) (net (code 3) (name /sdcard/SW_A) (node (ref U202) (pin 17)) (node (ref SW906) (pin 1))) (net (code 4) (name /audio/L3MODE) - (node (ref U205) (pin 10)) - (node (ref U207) (pin 9))) + (node (ref U207) (pin 9)) + (node (ref U205) (pin 10))) (net (code 5) (name /audio/L3DATA) - (node (ref U207) (pin 8)) - (node (ref U205) (pin 13))) - (net (code 6) (name /audio/ADATA) (node (ref U205) (pin 11)) - (node (ref U207) (pin 3))) + (node (ref U207) (pin 8))) + (net (code 6) (name /audio/ADATA) + (node (ref U207) (pin 3)) + (node (ref U205) (pin 15))) (net (code 7) (name /audio/ACLK) - (node (ref U205) (pin 15)) + (node (ref U205) (pin 13)) (node (ref U207) (pin 6))) (net (code 8) (name /audio/ABCK) (node (ref U207) (pin 1)) - (node (ref U205) (pin 18)) - (node (ref U207) (pin 10))) + (node (ref U207) (pin 10)) + (node (ref U205) (pin 18))) (net (code 9) (name /audio/AWS) (node (ref U207) (pin 2)) (node (ref U205) (pin 17))) @@ -1694,12 +1694,12 @@ (node (ref U202) (pin 89)) (node (ref P303) (pin 4))) (net (code 11) (name /sdcard/SW_B) - (node (ref U202) (pin 18)) - (node (ref SW905) (pin 1))) + (node (ref SW905) (pin 1)) + (node (ref U202) (pin 18))) (net (code 12) (name /FSMC/BOOT1) - (node (ref R301) (pin 2)) (node (ref U202) (pin 37)) - (node (ref P301) (pin 5))) + (node (ref P301) (pin 5)) + (node (ref R301) (pin 2))) (net (code 13) (name /display/T_IRQ) (node (ref U206) (pin 28)) (node (ref U202) (pin 64))) @@ -1707,25 +1707,23 @@ (node (ref U202) (pin 83)) (node (ref CON701) (pin 3))) (net (code 15) (name /display/T_BUSY) - (node (ref U202) (pin 63)) - (node (ref U206) (pin 24))) + (node (ref U206) (pin 24)) + (node (ref U202) (pin 63))) (net (code 16) (name /FSMC/T_DOUT) - (node (ref U202) (pin 53)) - (node (ref U206) (pin 26))) + (node (ref U206) (pin 26)) + (node (ref U202) (pin 53))) (net (code 17) (name /FSMC/T_DIN) - (node (ref U202) (pin 54)) - (node (ref U206) (pin 22))) + (node (ref U206) (pin 22)) + (node (ref U202) (pin 54))) (net (code 18) (name /powersupply/USB_PRESENT_N) - (node (ref U202) (pin 29)) - (node (ref R208) (pin 2)) - (node (ref U208) (pin 7))) + (node (ref U208) (pin 7)) + (node (ref U202) (pin 29))) (net (code 19) (name /powersupply/CHG_ACTIVE_N) - (node (ref U202) (pin 30)) (node (ref U208) (pin 3)) - (node (ref R206) (pin 2))) + (node (ref U202) (pin 30))) (net (code 20) (name /sdcard/OSC_EN) - (node (ref U202) (pin 33)) - (node (ref X601) (pin 1))) + (node (ref X601) (pin 1)) + (node (ref U202) (pin 33))) (net (code 21) (name /FSMC/BACKLIGHT_EN_N) (node (ref R202) (pin 1)) (node (ref U205) (pin 114)) @@ -1734,380 +1732,382 @@ (node (ref CON701) (pin 9)) (node (ref U202) (pin 71))) (net (code 23) (name /debug/JTDI) - (node (ref U202) (pin 77)) - (node (ref P303) (pin 3))) + (node (ref P303) (pin 3)) + (node (ref U202) (pin 77))) (net (code 24) (name /debug/JTCK) (node (ref U202) (pin 76)) (node (ref P303) (pin 1))) (net (code 25) (name /debug/JTMS) - (node (ref U202) (pin 72)) - (node (ref P303) (pin 2))) + (node (ref P303) (pin 2)) + (node (ref U202) (pin 72))) (net (code 26) (name /sdcard/SW_C) - (node (ref SW907) (pin 1)) - (node (ref U202) (pin 15))) + (node (ref U202) (pin 15)) + (node (ref SW907) (pin 1))) (net (code 27) (name /powersupply/FPGA_ENABLE_N) - (node (ref Q201) (pin 2)) (node (ref R201) (pin 2)) + (node (ref Q201) (pin 2)) (node (ref U202) (pin 31))) (net (code 28) (name /powersupply/CHARGE_ENABLE) - (node (ref R213) (pin 2)) - (node (ref Q203) (pin 1)) - (node (ref U202) (pin 32))) + (node (ref U202) (pin 32)) + (node (ref Q204) (pin 1)) + (node (ref R211) (pin 2))) (net (code 29) (name /powersupply/SYS_VOLTAGE) + (node (ref R213) (pin 1)) + (node (ref R212) (pin 2)) (node (ref U202) (pin 26)) - (node (ref R214) (pin 2)) - (node (ref R215) (pin 1)) (node (ref D203) (pin 1))) (net (code 30) (name /FSMC/BL0_N) (node (ref U202) (pin 97)) (node (ref U205) (pin 1))) (net (code 31) (name /FSMC/T_CLK) - (node (ref U202) (pin 52)) - (node (ref U206) (pin 18))) + (node (ref U206) (pin 18)) + (node (ref U202) (pin 52))) (net (code 32) (name /FSMC/T_CS) - (node (ref U202) (pin 51)) - (node (ref U206) (pin 20))) + (node (ref U206) (pin 20)) + (node (ref U202) (pin 51))) (net (code 33) (name /FSMC/BL1_N) (node (ref U202) (pin 98)) (node (ref U205) (pin 2))) - (net (code 34) (name VUSB) - (node (ref L203) (pin 1)) - (node (ref C240) (pin 1)) - (node (ref U208) (pin 1)) - (node (ref Q204) (pin 2)) - (node (ref U208) (pin 2)) - (node (ref R212) (pin 1)) - (node (ref D202) (pin 2))) - (net (code 35) (name /powersupply/THERM) + (net (code 34) (name /powersupply/THERM) (node (ref U208) (pin 8)) (node (ref P202) (pin 3))) - (net (code 36) (name VSYS) - (node (ref D202) (pin 1)) - (node (ref Q204) (pin 3)) - (node (ref R214) (pin 1)) + (net (code 35) (name VSYS) + (node (ref R212) (pin 1)) + (node (ref C204) (pin 1)) (node (ref C201) (pin 1)) (node (ref U203) (pin 1)) - (node (ref C204) (pin 1))) - (net (code 37) (name /powersupply/PROG_SWITCHED) - (node (ref R211) (pin 2)) - (node (ref Q203) (pin 3))) - (net (code 38) (name /powersupply/PROG) - (node (ref R211) (pin 1)) + (node (ref Q203) (pin 3)) + (node (ref D202) (pin 1))) + (net (code 36) (name /powersupply/PROG) + (node (ref R210) (pin 1)) (node (ref U208) (pin 6))) - (net (code 39) (name "Net-(D201-Pad1)") + (net (code 37) (name /powersupply/B+) + (node (ref F202) (pin 2)) + (node (ref P202) (pin 2))) + (net (code 38) (name /powersupply/PROG_SWITCHED) + (node (ref Q204) (pin 3)) + (node (ref R210) (pin 2))) + (net (code 39) (name /powersupply/SSout) (node (ref U203) (pin 2)) - (node (ref D201) (pin 1)) - (node (ref L201) (pin 1))) - (net (code 40) (name "Net-(C229-Pad1)") - (node (ref C229) (pin 1)) - (node (ref U204) (pin 2)) - (node (ref L202) (pin 1)) - (node (ref C232) (pin 1))) - (net (code 41) (name +1V2) - (node (ref C226) (pin 1)) - (node (ref U205) (pin 84)) - (node (ref U205) (pin 24)) - (node (ref U205) (pin 118)) - (node (ref C228) (pin 1)) - (node (ref L202) (pin 2)) - (node (ref C224) (pin 1)) - (node (ref U205) (pin 59)) - (node (ref C238) (pin 1)) - (node (ref C231) (pin 1)) - (node (ref C234) (pin 1)) - (node (ref C236) (pin 1))) - (net (code 42) (name GND) - (node (ref P303) (pin 6)) - (node (ref C301) (pin 2)) - (node (ref C702) (pin 2)) - (node (ref C701) (pin 2)) - (node (ref C303) (pin 2)) - (node (ref P301) (pin 4)) - (node (ref CON701) (pin 11)) - (node (ref CON701) (pin 10)) - (node (ref P301) (pin 2)) - (node (ref CON701) (pin 12)) - (node (ref CON701) (pin 13)) - (node (ref C302) (pin 2)) - (node (ref P302) (pin 2)) - (node (ref C242) (pin 2)) - (node (ref C243) (pin 2)) - (node (ref P302) (pin 4)) - (node (ref C241) (pin 2)) - (node (ref P301) (pin 6)) - (node (ref CON701) (pin 6)) - (node (ref U205) (pin 111)) - (node (ref U801) (pin 4)) - (node (ref C401) (pin 2)) - (node (ref C403) (pin 2)) - (node (ref C601) (pin 2)) - (node (ref C502) (pin 2)) - (node (ref C503) (pin 2)) - (node (ref U205) (pin 106)) - (node (ref U205) (pin 97)) - (node (ref U205) (pin 75)) - (node (ref C501) (pin 2)) - (node (ref C602) (pin 2)) - (node (ref U205) (pin 3)) - (node (ref C802) (pin 2)) - (node (ref C603) (pin 2)) - (node (ref C607) (pin 2)) - (node (ref U205) (pin 34)) - (node (ref U205) (pin 12)) - (node (ref U205) (pin 64)) - (node (ref C801) (pin 2)) - (node (ref U205) (pin 51)) - (node (ref C402) (pin 2)) - (node (ref X601) (pin 2)) - (node (ref U205) (pin 126)) - (node (ref U205) (pin 135)) - (node (ref C606) (pin 2)) - (node (ref C605) (pin 2)) - (node (ref C604) (pin 2)) - (node (ref R801) (pin 2)) - (node (ref U205) (pin 41)) - (node (ref C202) (pin 2)) - (node (ref C205) (pin 2)) - (node (ref R209) (pin 2)) - (node (ref U201) (pin 9)) - (node (ref U201) (pin 6)) - (node (ref U201) (pin 3)) - (node (ref C219) (pin 2)) - (node (ref P202) (pin 1)) - (node (ref U201) (pin 46)) - (node (ref U201) (pin 43)) - (node (ref U201) (pin 52)) - (node (ref U201) (pin 12)) - (node (ref U207) (pin 15)) - (node (ref C218) (pin 2)) - (node (ref C215) (pin 2)) - (node (ref C213) (pin 2)) - (node (ref U207) (pin 5)) - (node (ref C220) (pin 2)) - (node (ref U206) (pin 1)) - (node (ref R210) (pin 2)) - (node (ref P201) (pin 5)) - (node (ref C223) (pin 2)) - (node (ref C235) (pin 2)) - (node (ref R213) (pin 1)) - (node (ref C233) (pin 2)) - (node (ref C230) (pin 2)) - (node (ref C221) (pin 2)) - (node (ref C227) (pin 2)) - (node (ref C225) (pin 2)) - (node (ref C237) (pin 2)) - (node (ref C204) (pin 2)) - (node (ref U204) (pin 1)) - (node (ref U205) (pin 139)) - (node (ref C224) (pin 2)) - (node (ref U205) (pin 68)) - (node (ref U205) (pin 86)) - (node (ref C232) (pin 2)) - (node (ref U203) (pin 3)) - (node (ref C240) (pin 2)) - (node (ref U208) (pin 5)) - (node (ref C222) (pin 2)) - (node (ref R215) (pin 2)) - (node (ref C238) (pin 2)) - (node (ref C234) (pin 2)) - (node (ref D203) (pin 2)) - (node (ref C231) (pin 2)) - (node (ref C228) (pin 2)) - (node (ref R207) (pin 2)) - (node (ref U203) (pin 5)) - (node (ref C226) (pin 2)) - (node (ref C236) (pin 2)) - (node (ref R212) (pin 2)) - (node (ref C239) (pin 2)) - (node (ref C229) (pin 2)) - (node (ref C209) (pin 2)) - (node (ref C201) (pin 2)) - (node (ref J801) (pin 1)) - (node (ref C207) (pin 2)) - (node (ref C208) (pin 2)) - (node (ref C216) (pin 2)) - (node (ref C217) (pin 2)) - (node (ref D201) (pin 2)) - (node (ref U202) (pin 74)) - (node (ref U207) (pin 11)) - (node (ref R803) (pin 1)) - (node (ref R802) (pin 2)) - (node (ref SW905) (pin 2)) - (node (ref C206) (pin 2)) - (node (ref C203) (pin 2)) - (node (ref SW908) (pin 2)) - (node (ref U201) (pin 49)) - (node (ref U202) (pin 99)) - (node (ref SW906) (pin 2)) - (node (ref SW907) (pin 2)) - (node (ref C214) (pin 2)) - (node (ref C212) (pin 2)) - (node (ref C210) (pin 2)) - (node (ref U202) (pin 27)) - (node (ref SW901) (pin 2)) - (node (ref SW904) (pin 2)) - (node (ref Q203) (pin 2)) - (node (ref U202) (pin 20)) - (node (ref SW902) (pin 2)) - (node (ref U202) (pin 10)) - (node (ref C211) (pin 2)) - (node (ref SW903) (pin 2))) - (net (code 43) (name VFPGA33) - (node (ref C501) (pin 1)) - (node (ref C402) (pin 1)) - (node (ref U205) (pin 60)) - (node (ref U205) (pin 95)) - (node (ref C221) (pin 1)) - (node (ref U205) (pin 76)) - (node (ref U205) (pin 105)) - (node (ref C604) (pin 1)) - (node (ref U204) (pin 3)) - (node (ref R205) (pin 1)) - (node (ref X601) (pin 4)) - (node (ref R204) (pin 1)) - (node (ref U205) (pin 63)) - (node (ref U205) (pin 42)) - (node (ref C502) (pin 1)) - (node (ref U205) (pin 112)) - (node (ref C601) (pin 1)) - (node (ref C503) (pin 1)) - (node (ref U205) (pin 128)) - (node (ref C403) (pin 1)) - (node (ref U205) (pin 136)) - (node (ref C401) (pin 1)) - (node (ref U201) (pin 41)) - (node (ref U206) (pin 3)) - (node (ref C220) (pin 1)) - (node (ref C215) (pin 1)) - (node (ref C218) (pin 1)) - (node (ref U207) (pin 4)) - (node (ref C213) (pin 1)) - (node (ref R202) (pin 2)) - (node (ref C219) (pin 1)) - (node (ref U207) (pin 13)) - (node (ref U201) (pin 1)) - (node (ref U205) (pin 83)) - (node (ref P302) (pin 6)) - (node (ref C241) (pin 1)) - (node (ref C303) (pin 1)) - (node (ref C242) (pin 1)) - (node (ref Q201) (pin 1)) - (node (ref C243) (pin 1)) - (node (ref U201) (pin 28)) - (node (ref U201) (pin 14)) - (node (ref U201) (pin 54)) - (node (ref U201) (pin 27)) - (node (ref C211) (pin 1)) - (node (ref C233) (pin 1)) - (node (ref C235) (pin 1)) - (node (ref U205) (pin 4)) - (node (ref C237) (pin 1)) - (node (ref C239) (pin 1)) - (node (ref U205) (pin 49)) - (node (ref C602) (pin 1)) - (node (ref C223) (pin 1)) - (node (ref C603) (pin 1)) - (node (ref C222) (pin 1)) - (node (ref U205) (pin 14)) - (node (ref U205) (pin 33)) - (node (ref U205) (pin 85)) - (node (ref U205) (pin 67)) - (node (ref C605) (pin 1)) - (node (ref C606) (pin 1)) - (node (ref U205) (pin 140)) - (node (ref U205) (pin 117)) - (node (ref C230) (pin 1)) - (node (ref U205) (pin 23)) - (node (ref Q202) (pin 3)) - (node (ref C607) (pin 1)) - (node (ref C227) (pin 1)) - (node (ref C225) (pin 1))) - (net (code 44) (name "Net-(P201-Pad3)") - (node (ref R209) (pin 1)) - (node (ref P201) (pin 3))) - (net (code 45) (name "Net-(P201-Pad2)") - (node (ref P201) (pin 2)) - (node (ref R207) (pin 1))) - (net (code 46) (name VBAT) - (node (ref Q204) (pin 1)) - (node (ref F202) (pin 1)) - (node (ref U208) (pin 9)) - (node (ref U208) (pin 10))) - (net (code 47) (name "Net-(F202-Pad2)") - (node (ref P202) (pin 2)) - (node (ref F202) (pin 2))) - (net (code 48) (name "Net-(F201-Pad1)") - (node (ref P201) (pin 1)) - (node (ref F201) (pin 1))) - (net (code 49) (name "Net-(F201-Pad2)") - (node (ref F201) (pin 2)) - (node (ref L203) (pin 2))) - (net (code 50) (name /powersupply/TOE) - (node (ref U205) (pin 25)) - (node (ref R204) (pin 2))) - (net (code 51) (name /powersupply/CFG0) - (node (ref R205) (pin 2)) - (node (ref U205) (pin 26))) - (net (code 52) (name "Net-(P201-Pad4)") - (node (ref P201) (pin 4))) - (net (code 53) (name /powersupply/VCAP2) - (node (ref C206) (pin 1)) - (node (ref U202) (pin 73))) - (net (code 54) (name /powersupply/VCAP1) - (node (ref U202) (pin 49)) - (node (ref C203) (pin 1))) - (net (code 55) (name "Net-(R203-Pad1)") - (node (ref R203) (pin 1)) - (node (ref U206) (pin 37))) - (net (code 56) (name "Net-(P201-Pad6)") - (node (ref P201) (pin 6)) - (node (ref R210) (pin 1))) - (net (code 57) (name "Net-(Q202-Pad1)") - (node (ref R203) (pin 2)) - (node (ref Q202) (pin 1))) - (net (code 58) (name "Net-(U208-Pad4)") - (node (ref U208) (pin 4))) - (net (code 59) (name VSTM33) + (node (ref L201) (pin 1)) + (node (ref D201) (pin 1))) + (net (code 40) (name VSTM33) (node (ref U801) (pin 8)) + (node (ref C801) (pin 1)) + (node (ref U203) (pin 4)) + (node (ref U202) (pin 50)) (node (ref C702) (pin 1)) + (node (ref C205) (pin 1)) + (node (ref C202) (pin 1)) + (node (ref C207) (pin 1)) (node (ref C701) (pin 1)) - (node (ref CON701) (pin 4)) - (node (ref D901) (pin 1)) - (node (ref C214) (pin 1)) - (node (ref C216) (pin 1)) - (node (ref U202) (pin 75)) - (node (ref U202) (pin 28)) - (node (ref U202) (pin 19)) (node (ref U202) (pin 100)) - (node (ref C212) (pin 1)) (node (ref R301) (pin 1)) (node (ref R302) (pin 1)) (node (ref R303) (pin 1)) - (node (ref U202) (pin 50)) - (node (ref R208) (pin 1)) - (node (ref U203) (pin 4)) - (node (ref R206) (pin 1)) - (node (ref C205) (pin 1)) - (node (ref C202) (pin 1)) - (node (ref Q201) (pin 3)) (node (ref R201) (pin 1)) (node (ref U202) (pin 6)) (node (ref U202) (pin 11)) (node (ref U202) (pin 21)) (node (ref U202) (pin 22)) + (node (ref U202) (pin 75)) + (node (ref U202) (pin 28)) + (node (ref U202) (pin 19)) (node (ref L201) (pin 2)) (node (ref C217) (pin 1)) - (node (ref C207) (pin 1)) + (node (ref Q201) (pin 3)) (node (ref C208) (pin 1)) (node (ref C209) (pin 1)) - (node (ref C210) (pin 1))) + (node (ref C210) (pin 1)) + (node (ref C212) (pin 1)) + (node (ref C214) (pin 1)) + (node (ref C216) (pin 1)) + (node (ref D901) (pin 1)) + (node (ref CON701) (pin 4))) + (net (code 41) (name /powersupply/LSout) + (node (ref C232) (pin 1)) + (node (ref L202) (pin 1)) + (node (ref U204) (pin 2)) + (node (ref C229) (pin 1))) + (net (code 42) (name +1V2) + (node (ref U205) (pin 84)) + (node (ref C236) (pin 1)) + (node (ref C226) (pin 1)) + (node (ref C238) (pin 1)) + (node (ref C228) (pin 1)) + (node (ref U205) (pin 118)) + (node (ref C231) (pin 1)) + (node (ref C234) (pin 1)) + (node (ref U205) (pin 59)) + (node (ref C224) (pin 1)) + (node (ref L202) (pin 2)) + (node (ref U205) (pin 24))) + (net (code 43) (name VFPGA33) + (node (ref U205) (pin 14)) + (node (ref U205) (pin 105)) + (node (ref Q201) (pin 1)) + (node (ref U205) (pin 33)) + (node (ref C603) (pin 1)) + (node (ref C602) (pin 1)) + (node (ref U205) (pin 49)) + (node (ref U205) (pin 4)) + (node (ref U205) (pin 76)) + (node (ref U205) (pin 95)) + (node (ref C211) (pin 1)) + (node (ref C213) (pin 1)) + (node (ref C215) (pin 1)) + (node (ref C218) (pin 1)) + (node (ref C606) (pin 1)) + (node (ref C607) (pin 1)) + (node (ref P302) (pin 6)) + (node (ref U205) (pin 83)) + (node (ref C601) (pin 1)) + (node (ref C303) (pin 1)) + (node (ref C604) (pin 1)) + (node (ref U205) (pin 63)) + (node (ref U205) (pin 42)) + (node (ref X601) (pin 4)) + (node (ref C501) (pin 1)) + (node (ref C503) (pin 1)) + (node (ref C502) (pin 1)) + (node (ref U205) (pin 112)) + (node (ref C401) (pin 1)) + (node (ref U205) (pin 136)) + (node (ref C403) (pin 1)) + (node (ref C605) (pin 1)) + (node (ref U205) (pin 128)) + (node (ref C402) (pin 1)) + (node (ref C222) (pin 1)) + (node (ref C223) (pin 1)) + (node (ref U201) (pin 1)) + (node (ref R202) (pin 2)) + (node (ref C219) (pin 1)) + (node (ref U201) (pin 41)) + (node (ref U201) (pin 14)) + (node (ref U201) (pin 54)) + (node (ref C237) (pin 1)) + (node (ref C225) (pin 1)) + (node (ref U205) (pin 117)) + (node (ref C227) (pin 1)) + (node (ref C230) (pin 1)) + (node (ref U205) (pin 140)) + (node (ref U205) (pin 67)) + (node (ref C233) (pin 1)) + (node (ref U205) (pin 85)) + (node (ref C235) (pin 1)) + (node (ref C244) (pin 1)) + (node (ref U205) (pin 23)) + (node (ref U205) (pin 60)) + (node (ref C243) (pin 1)) + (node (ref C239) (pin 1)) + (node (ref C221) (pin 1)) + (node (ref U204) (pin 3)) + (node (ref R205) (pin 1)) + (node (ref R204) (pin 1)) + (node (ref C242) (pin 1)) + (node (ref U201) (pin 28)) + (node (ref U201) (pin 27)) + (node (ref U207) (pin 13)) + (node (ref Q202) (pin 3)) + (node (ref U207) (pin 4)) + (node (ref C220) (pin 1)) + (node (ref U206) (pin 3))) + (net (code 44) (name GND) + (node (ref U205) (pin 111)) + (node (ref SW907) (pin 2)) + (node (ref SW902) (pin 2)) + (node (ref R207) (pin 2)) + (node (ref SW905) (pin 2)) + (node (ref C402) (pin 2)) + (node (ref C605) (pin 2)) + (node (ref U205) (pin 126)) + (node (ref U205) (pin 135)) + (node (ref C403) (pin 2)) + (node (ref SW906) (pin 2)) + (node (ref SW908) (pin 2)) + (node (ref SW901) (pin 2)) + (node (ref SW903) (pin 2)) + (node (ref C401) (pin 2)) + (node (ref U205) (pin 12)) + (node (ref U205) (pin 106)) + (node (ref U205) (pin 34)) + (node (ref C603) (pin 2)) + (node (ref C602) (pin 2)) + (node (ref C242) (pin 2)) + (node (ref U205) (pin 3)) + (node (ref U205) (pin 75)) + (node (ref U205) (pin 97)) + (node (ref C606) (pin 2)) + (node (ref C607) (pin 2)) + (node (ref C211) (pin 2)) + (node (ref CON701) (pin 6)) + (node (ref C244) (pin 2)) + (node (ref CON701) (pin 11)) + (node (ref CON701) (pin 10)) + (node (ref C243) (pin 2)) + (node (ref C502) (pin 2)) + (node (ref C503) (pin 2)) + (node (ref CON701) (pin 12)) + (node (ref R206) (pin 2)) + (node (ref C501) (pin 2)) + (node (ref C240) (pin 2)) + (node (ref C702) (pin 2)) + (node (ref C701) (pin 2)) + (node (ref CON701) (pin 13)) + (node (ref C206) (pin 2)) + (node (ref R208) (pin 2)) + (node (ref C202) (pin 2)) + (node (ref C220) (pin 2)) + (node (ref P303) (pin 6)) + (node (ref C225) (pin 2)) + (node (ref C227) (pin 2)) + (node (ref C302) (pin 2)) + (node (ref C203) (pin 2)) + (node (ref U201) (pin 43)) + (node (ref C229) (pin 2)) + (node (ref U201) (pin 52)) + (node (ref C301) (pin 2)) + (node (ref C230) (pin 2)) + (node (ref P301) (pin 4)) + (node (ref P301) (pin 2)) + (node (ref U204) (pin 1)) + (node (ref C232) (pin 2)) + (node (ref C801) (pin 2)) + (node (ref U205) (pin 86)) + (node (ref U205) (pin 68)) + (node (ref C224) (pin 2)) + (node (ref U205) (pin 139)) + (node (ref C303) (pin 2)) + (node (ref C238) (pin 2)) + (node (ref C234) (pin 2)) + (node (ref C236) (pin 2)) + (node (ref C226) (pin 2)) + (node (ref C228) (pin 2)) + (node (ref C231) (pin 2)) + (node (ref C233) (pin 2)) + (node (ref U201) (pin 12)) + (node (ref U201) (pin 9)) + (node (ref SW904) (pin 2)) + (node (ref U201) (pin 6)) + (node (ref U201) (pin 3)) + (node (ref C219) (pin 2)) + (node (ref C235) (pin 2)) + (node (ref C223) (pin 2)) + (node (ref X601) (pin 2)) + (node (ref C237) (pin 2)) + (node (ref C239) (pin 2)) + (node (ref C601) (pin 2)) + (node (ref P301) (pin 6)) + (node (ref P302) (pin 4)) + (node (ref C222) (pin 2)) + (node (ref P302) (pin 2)) + (node (ref U207) (pin 15)) + (node (ref Q204) (pin 2)) + (node (ref U202) (pin 10)) + (node (ref U202) (pin 20)) + (node (ref U202) (pin 74)) + (node (ref U202) (pin 27)) + (node (ref U207) (pin 5)) + (node (ref C217) (pin 2)) + (node (ref C241) (pin 2)) + (node (ref C201) (pin 2)) + (node (ref U205) (pin 41)) + (node (ref C205) (pin 2)) + (node (ref C803) (pin 2)) + (node (ref P202) (pin 1)) + (node (ref J801) (pin 1)) + (node (ref R803) (pin 1)) + (node (ref R802) (pin 2)) + (node (ref C213) (pin 2)) + (node (ref U205) (pin 64)) + (node (ref U205) (pin 51)) + (node (ref U208) (pin 5)) + (node (ref C215) (pin 2)) + (node (ref R801) (pin 2)) + (node (ref C218) (pin 2)) + (node (ref U201) (pin 49)) + (node (ref P201) (pin 5)) + (node (ref D201) (pin 2)) + (node (ref C212) (pin 2)) + (node (ref U202) (pin 99)) + (node (ref R209) (pin 2)) + (node (ref C802) (pin 2)) + (node (ref U206) (pin 1)) + (node (ref C210) (pin 2)) + (node (ref R211) (pin 1)) + (node (ref C221) (pin 2)) + (node (ref C604) (pin 2)) + (node (ref C216) (pin 2)) + (node (ref C204) (pin 2)) + (node (ref C214) (pin 2)) + (node (ref C207) (pin 2)) + (node (ref U207) (pin 11)) + (node (ref D203) (pin 2)) + (node (ref U203) (pin 3)) + (node (ref R213) (pin 2)) + (node (ref U203) (pin 5)) + (node (ref C208) (pin 2)) + (node (ref U201) (pin 46)) + (node (ref C209) (pin 2)) + (node (ref U801) (pin 4))) + (net (code 45) (name /powersupply/TOE) + (node (ref R204) (pin 2)) + (node (ref U205) (pin 25))) + (net (code 46) (name /powersupply/CFG0) + (node (ref R205) (pin 2)) + (node (ref U205) (pin 26))) + (net (code 47) (name "Net-(P201-Pad4)") + (node (ref P201) (pin 4))) + (net (code 48) (name VBAT) + (node (ref Q203) (pin 1)) + (node (ref F202) (pin 1)) + (node (ref U208) (pin 10)) + (node (ref U208) (pin 9))) + (net (code 49) (name "Net-(F201-Pad1)") + (node (ref P201) (pin 1)) + (node (ref F201) (pin 1))) + (net (code 50) (name "Net-(F201-Pad2)") + (node (ref F201) (pin 2)) + (node (ref L203) (pin 2))) + (net (code 51) (name VUSB) + (node (ref D202) (pin 2)) + (node (ref C240) (pin 1)) + (node (ref Q203) (pin 2)) + (node (ref L203) (pin 1)) + (node (ref C241) (pin 1)) + (node (ref U208) (pin 1)) + (node (ref U208) (pin 2)) + (node (ref R209) (pin 1))) + (net (code 52) (name /powersupply/D+) + (node (ref R207) (pin 1)) + (node (ref P201) (pin 3))) + (net (code 53) (name /powersupply/D-) + (node (ref P201) (pin 2)) + (node (ref R206) (pin 1))) + (net (code 54) (name "Net-(P201-Pad6)") + (node (ref P201) (pin 6)) + (node (ref R208) (pin 1))) + (net (code 55) (name "Net-(Q202-Pad1)") + (node (ref R203) (pin 2)) + (node (ref Q202) (pin 1))) + (net (code 56) (name /powersupply/VCAP1) + (node (ref U202) (pin 49)) + (node (ref C203) (pin 1))) + (net (code 57) (name /powersupply/VCAP2) + (node (ref C206) (pin 1)) + (node (ref U202) (pin 73))) + (net (code 58) (name "Net-(R203-Pad1)") + (node (ref R203) (pin 1)) + (node (ref U206) (pin 37))) + (net (code 59) (name "Net-(U208-Pad4)") + (node (ref U208) (pin 4))) (net (code 60) (name /debug/NRST) - (node (ref R303) (pin 2)) (node (ref P301) (pin 1)) - (node (ref U202) (pin 14))) + (node (ref U202) (pin 14)) + (node (ref R303) (pin 2))) (net (code 61) (name /debug/BOOT0) - (node (ref U202) (pin 94)) (node (ref P301) (pin 3)) + (node (ref U202) (pin 94)) (node (ref R302) (pin 2))) (net (code 62) (name "Net-(P302-Pad8)") (node (ref P302) (pin 8))) @@ -2116,16 +2116,16 @@ (net (code 64) (name "Net-(P302-Pad10)") (node (ref P302) (pin 10))) (net (code 65) (name /debug/OSCIN) - (node (ref C301) (pin 1)) + (node (ref U202) (pin 12)) (node (ref Y301) (pin 1)) - (node (ref U202) (pin 12))) + (node (ref C301) (pin 1))) (net (code 66) (name /debug/OSCOUT) (node (ref C302) (pin 1)) (node (ref Y301) (pin 2)) (node (ref U202) (pin 13))) (net (code 67) (name /debug/FTCK) - (node (ref P302) (pin 1)) - (node (ref U205) (pin 81))) + (node (ref U205) (pin 81)) + (node (ref P302) (pin 1))) (net (code 68) (name /debug/FTMS) (node (ref P302) (pin 3)) (node (ref U205) (pin 79))) @@ -2133,32 +2133,32 @@ (node (ref U205) (pin 80)) (node (ref P302) (pin 5))) (net (code 70) (name /debug/FTDO) - (node (ref U205) (pin 82)) - (node (ref P302) (pin 7))) + (node (ref P302) (pin 7)) + (node (ref U205) (pin 82))) (net (code 71) (name /FSMC/DA14) - (node (ref U205) (pin 120)) - (node (ref U202) (pin 56))) + (node (ref U202) (pin 56)) + (node (ref U205) (pin 120))) (net (code 72) (name /FSMC/DA7) - (node (ref U205) (pin 133)) - (node (ref U202) (pin 41))) + (node (ref U202) (pin 41)) + (node (ref U205) (pin 133))) (net (code 73) (name /FSMC/DA6) - (node (ref U205) (pin 134)) - (node (ref U202) (pin 40))) + (node (ref U202) (pin 40)) + (node (ref U205) (pin 134))) (net (code 74) (name /FSMC/DA5) (node (ref U202) (pin 39)) (node (ref U205) (pin 137))) (net (code 75) (name /FSMC/DA4) - (node (ref U205) (pin 138)) - (node (ref U202) (pin 38))) + (node (ref U202) (pin 38)) + (node (ref U205) (pin 138))) (net (code 76) (name /FSMC/DA3) - (node (ref U202) (pin 82)) - (node (ref U205) (pin 125))) + (node (ref U205) (pin 125)) + (node (ref U202) (pin 82))) (net (code 77) (name /FSMC/DA2) - (node (ref U202) (pin 81)) - (node (ref U205) (pin 124))) + (node (ref U205) (pin 124)) + (node (ref U202) (pin 81))) (net (code 78) (name /FSMC/DA1) - (node (ref U202) (pin 62)) - (node (ref U205) (pin 123))) + (node (ref U205) (pin 123)) + (node (ref U202) (pin 62))) (net (code 79) (name /FSMC/DA0) (node (ref U202) (pin 61)) (node (ref U205) (pin 122))) @@ -2172,41 +2172,41 @@ (node (ref U202) (pin 57)) (node (ref U205) (pin 119))) (net (code 83) (name /FSMC/A16) - (node (ref U202) (pin 58)) - (node (ref U205) (pin 113))) + (node (ref U205) (pin 113)) + (node (ref U202) (pin 58))) (net (code 84) (name /FSMC/A17) - (node (ref U202) (pin 59)) - (node (ref U205) (pin 110))) + (node (ref U205) (pin 110)) + (node (ref U202) (pin 59))) (net (code 85) (name /FSMC/A18) - (node (ref U205) (pin 109)) - (node (ref U202) (pin 60))) + (node (ref U202) (pin 60)) + (node (ref U205) (pin 109))) (net (code 86) (name /FSMC/WAIT_N) (node (ref U202) (pin 87)) (node (ref U205) (pin 142))) (net (code 87) (name /FSMC/E1_N) - (node (ref U205) (pin 143)) - (node (ref U202) (pin 88))) + (node (ref U202) (pin 88)) + (node (ref U205) (pin 143))) (net (code 88) (name /FSMC/WE_N) - (node (ref U205) (pin 141)) - (node (ref U202) (pin 86))) + (node (ref U202) (pin 86)) + (node (ref U205) (pin 141))) (net (code 89) (name /FSMC/OE_N) - (node (ref U202) (pin 85)) - (node (ref U205) (pin 115))) + (node (ref U205) (pin 115)) + (node (ref U202) (pin 85))) (net (code 90) (name /FSMC/CLK) - (node (ref U202) (pin 84)) - (node (ref U205) (pin 116))) + (node (ref U205) (pin 116)) + (node (ref U202) (pin 84))) (net (code 91) (name /FSMC/DA12) - (node (ref U202) (pin 46)) - (node (ref U205) (pin 127))) + (node (ref U205) (pin 127)) + (node (ref U202) (pin 46))) (net (code 92) (name /FSMC/DA11) (node (ref U202) (pin 45)) (node (ref U205) (pin 129))) (net (code 93) (name /FSMC/DA10) - (node (ref U205) (pin 130)) - (node (ref U202) (pin 44))) + (node (ref U202) (pin 44)) + (node (ref U205) (pin 130))) (net (code 94) (name /FSMC/DA9) - (node (ref U205) (pin 131)) - (node (ref U202) (pin 43))) + (node (ref U202) (pin 43)) + (node (ref U205) (pin 131))) (net (code 95) (name "Net-(U202-Pad95)") (node (ref U202) (pin 95))) (net (code 96) (name /FSMC/ADV_N) @@ -2227,11 +2227,11 @@ (net (code 103) (name "Net-(U202-Pad48)") (node (ref U202) (pin 48))) (net (code 104) (name /display/DB7) - (node (ref U205) (pin 98)) - (node (ref U206) (pin 16))) + (node (ref U206) (pin 16)) + (node (ref U205) (pin 98))) (net (code 105) (name /display/DB10) - (node (ref U205) (pin 99)) - (node (ref U206) (pin 17))) + (node (ref U206) (pin 17)) + (node (ref U205) (pin 99))) (net (code 106) (name /display/DB11) (node (ref U206) (pin 19)) (node (ref U205) (pin 100))) @@ -2242,14 +2242,14 @@ (node (ref U205) (pin 107)) (node (ref U206) (pin 29))) (net (code 109) (name /display/DB15) - (node (ref U205) (pin 104)) - (node (ref U206) (pin 27))) + (node (ref U206) (pin 27)) + (node (ref U205) (pin 104))) (net (code 110) (name /display/DB14) - (node (ref U205) (pin 103)) - (node (ref U206) (pin 25))) + (node (ref U206) (pin 25)) + (node (ref U205) (pin 103))) (net (code 111) (name /display/DB13) - (node (ref U205) (pin 102)) - (node (ref U206) (pin 23))) + (node (ref U206) (pin 23)) + (node (ref U205) (pin 102))) (net (code 112) (name "Net-(U206-Pad40)") (node (ref U206) (pin 40))) (net (code 113) (name "Net-(U206-Pad39)") @@ -2264,20 +2264,20 @@ (node (ref U206) (pin 15)) (node (ref U205) (pin 96))) (net (code 118) (name /display/DB6) - (node (ref U206) (pin 14)) - (node (ref U205) (pin 94))) + (node (ref U205) (pin 94)) + (node (ref U206) (pin 14))) (net (code 119) (name /display/DB4) - (node (ref U206) (pin 10)) - (node (ref U205) (pin 90))) + (node (ref U205) (pin 90)) + (node (ref U206) (pin 10))) (net (code 120) (name /display/RD) - (node (ref U205) (pin 91)) - (node (ref U206) (pin 11))) + (node (ref U206) (pin 11)) + (node (ref U205) (pin 91))) (net (code 121) (name /display/DB5) - (node (ref U205) (pin 92)) - (node (ref U206) (pin 12))) + (node (ref U206) (pin 12)) + (node (ref U205) (pin 92))) (net (code 122) (name /display/DB8) - (node (ref U205) (pin 93)) - (node (ref U206) (pin 13))) + (node (ref U206) (pin 13)) + (node (ref U205) (pin 93))) (net (code 123) (name /display/DB3) (node (ref U206) (pin 8)) (node (ref U205) (pin 88))) @@ -2288,8 +2288,8 @@ (node (ref U206) (pin 4)) (node (ref U205) (pin 77))) (net (code 126) (name /display/DB2) - (node (ref U205) (pin 78)) - (node (ref U206) (pin 6))) + (node (ref U206) (pin 6)) + (node (ref U205) (pin 78))) (net (code 127) (name /display/DB12) (node (ref U206) (pin 21)) (node (ref U205) (pin 101))) @@ -2313,59 +2313,59 @@ (node (ref U205) (pin 89)) (node (ref U206) (pin 9))) (net (code 136) (name /sdram/WE) - (node (ref U205) (pin 46)) - (node (ref U201) (pin 16))) + (node (ref U201) (pin 16)) + (node (ref U205) (pin 46))) (net (code 137) (name /sdram/CLK) - (node (ref U201) (pin 38)) - (node (ref U205) (pin 45))) + (node (ref U205) (pin 45)) + (node (ref U201) (pin 38))) (net (code 138) (name /sdram/CAS) - (node (ref U201) (pin 17)) - (node (ref U205) (pin 44))) - (net (code 139) (name /sdram/CKE) - (node (ref U201) (pin 37)) - (node (ref U205) (pin 43))) - (net (code 140) (name /sdram/RAS) - (node (ref U201) (pin 18)) - (node (ref U205) (pin 40))) + (node (ref U205) (pin 44)) + (node (ref U201) (pin 17))) + (net (code 139) (name /sdram/RAS) + (node (ref U205) (pin 43)) + (node (ref U201) (pin 18))) + (net (code 140) (name /sdram/CKE) + (node (ref U205) (pin 40)) + (node (ref U201) (pin 37))) (net (code 141) (name /sdram/CS) (node (ref U201) (pin 19)) (node (ref U205) (pin 39))) (net (code 142) (name /sdram/BA0) - (node (ref U205) (pin 38)) - (node (ref U201) (pin 20))) + (node (ref U201) (pin 20)) + (node (ref U205) (pin 38))) (net (code 143) (name /sdram/DQ8) - (node (ref U201) (pin 42)) + (node (ref U201) (pin 13)) (node (ref U205) (pin 52))) (net (code 144) (name /sdram/DQ7) - (node (ref U201) (pin 13)) - (node (ref U205) (pin 50))) + (node (ref U205) (pin 50)) + (node (ref U201) (pin 42))) (net (code 145) (name /sdram/DQML) - (node (ref U205) (pin 48)) - (node (ref U201) (pin 15))) + (node (ref U201) (pin 15)) + (node (ref U205) (pin 48))) (net (code 146) (name /sdram/DQMH) (node (ref U205) (pin 47)) (node (ref U201) (pin 39))) (net (code 147) (name /sdram/A0) (node (ref U201) (pin 23)) (node (ref U205) (pin 36))) - (net (code 148) (name /sdram/A5) - (node (ref U201) (pin 30)) - (node (ref U205) (pin 20))) - (net (code 149) (name /sdram/A4) - (node (ref U201) (pin 29)) - (node (ref U205) (pin 19))) - (net (code 150) (name /sdram/A6) - (node (ref U201) (pin 31)) - (node (ref U205) (pin 21))) - (net (code 151) (name /sdram/A7) + (net (code 148) (name /sdram/A4) + (node (ref U205) (pin 19)) + (node (ref U201) (pin 29))) + (net (code 149) (name /sdram/A6) + (node (ref U205) (pin 21)) + (node (ref U201) (pin 31))) + (net (code 150) (name /sdram/A7) (node (ref U205) (pin 22)) (node (ref U201) (pin 32))) + (net (code 151) (name /sdram/A8) + (node (ref U201) (pin 33)) + (node (ref U205) (pin 27))) (net (code 152) (name /sdram/A9) - (node (ref U201) (pin 34)) - (node (ref U205) (pin 28))) + (node (ref U205) (pin 28)) + (node (ref U201) (pin 34))) (net (code 153) (name /sdram/A11) - (node (ref U205) (pin 29)) - (node (ref U201) (pin 35))) + (node (ref U201) (pin 35)) + (node (ref U205) (pin 29))) (net (code 154) (name /sdram/A3) (node (ref U201) (pin 26)) (node (ref U205) (pin 30))) @@ -2373,17 +2373,17 @@ (node (ref U201) (pin 25)) (node (ref U205) (pin 31))) (net (code 156) (name /sdram/A1) - (node (ref U201) (pin 24)) - (node (ref U205) (pin 32))) + (node (ref U205) (pin 32)) + (node (ref U201) (pin 24))) (net (code 157) (name /sdram/A10) (node (ref U201) (pin 22)) (node (ref U205) (pin 35))) (net (code 158) (name /sdram/BA1) (node (ref U201) (pin 21)) (node (ref U205) (pin 37))) - (net (code 159) (name /sdram/DQ12) + (net (code 159) (name /sdram/DQ3) (node (ref U201) (pin 48)) - (node (ref U205) (pin 62))) + (node (ref U205) (pin 61))) (net (code 160) (name /sdram/DQ0) (node (ref U205) (pin 71)) (node (ref U201) (pin 2))) @@ -2391,44 +2391,44 @@ (node (ref U201) (pin 4)) (node (ref U205) (pin 69))) (net (code 162) (name /sdram/DQ2) - (node (ref U205) (pin 65)) - (node (ref U201) (pin 5))) - (net (code 163) (name /sdram/DQ3) - (node (ref U205) (pin 61)) - (node (ref U201) (pin 7))) - (net (code 164) (name /sdram/DQ4) - (node (ref U201) (pin 8)) - (node (ref U205) (pin 57))) - (net (code 165) (name /sdram/DQ5) - (node (ref U205) (pin 55)) - (node (ref U201) (pin 10))) - (net (code 166) (name /sdram/DQ9) - (node (ref U205) (pin 54)) - (node (ref U201) (pin 44))) - (net (code 167) (name /sdram/DQ10) + (node (ref U201) (pin 5)) + (node (ref U205) (pin 65))) + (net (code 163) (name /sdram/DQ12) + (node (ref U201) (pin 7)) + (node (ref U205) (pin 62))) + (net (code 164) (name /sdram/DQ11) + (node (ref U205) (pin 58)) + (node (ref U201) (pin 8))) + (net (code 165) (name /sdram/DQ10) (node (ref U205) (pin 56)) - (node (ref U201) (pin 45))) - (net (code 168) (name /sdram/DQ11) + (node (ref U201) (pin 10))) + (net (code 166) (name /sdram/DQ5) + (node (ref U205) (pin 55)) + (node (ref U201) (pin 11))) + (net (code 167) (name /sdram/DQ6) + (node (ref U201) (pin 44)) + (node (ref U205) (pin 53))) + (net (code 168) (name /sdram/DQ9) + (node (ref U201) (pin 45)) + (node (ref U205) (pin 54))) + (net (code 169) (name /sdram/DQ4) (node (ref U201) (pin 47)) - (node (ref U205) (pin 58))) - (net (code 169) (name /sdram/CLK_50M) + (node (ref U205) (pin 57))) + (net (code 170) (name /sdram/CLK_50M) (node (ref U205) (pin 16)) (node (ref X601) (pin 3))) - (net (code 170) (name /sdram/DQ13) + (net (code 171) (name /sdram/DQ13) (node (ref U205) (pin 66)) (node (ref U201) (pin 50))) - (net (code 171) (name /sdram/DQ14) + (net (code 172) (name /sdram/DQ14) (node (ref U205) (pin 70)) (node (ref U201) (pin 51))) - (net (code 172) (name /sdram/DQ15) + (net (code 173) (name /sdram/DQ15) (node (ref U205) (pin 72)) (node (ref U201) (pin 53))) - (net (code 173) (name /sdram/A8) - (node (ref U205) (pin 27)) - (node (ref U201) (pin 33))) - (net (code 174) (name /sdram/DQ6) - (node (ref U201) (pin 11)) - (node (ref U205) (pin 53))) + (net (code 174) (name /sdram/A5) + (node (ref U201) (pin 30)) + (node (ref U205) (pin 20))) (net (code 175) (name "Net-(U201-Pad40)") (node (ref U201) (pin 40))) (net (code 176) (name "Net-(U201-Pad36)") @@ -2437,17 +2437,17 @@ (node (ref U202) (pin 80)) (node (ref CON701) (pin 5))) (net (code 178) (name /sdcard/SDIO_D3) - (node (ref U202) (pin 79)) - (node (ref CON701) (pin 2))) + (node (ref CON701) (pin 2)) + (node (ref U202) (pin 79))) (net (code 179) (name /sdcard/SDIO_D2) - (node (ref U202) (pin 78)) - (node (ref CON701) (pin 1))) + (node (ref CON701) (pin 1)) + (node (ref U202) (pin 78))) (net (code 180) (name /sdcard/SDIO_D1) (node (ref U202) (pin 66)) (node (ref CON701) (pin 8))) (net (code 181) (name /sdcard/SDIO_D0) - (node (ref U202) (pin 65)) - (node (ref CON701) (pin 7))) + (node (ref CON701) (pin 7)) + (node (ref U202) (pin 65))) (net (code 182) (name "Net-(U202-Pad34)") (node (ref U202) (pin 34))) (net (code 183) (name "Net-(U202-Pad7)") @@ -2456,71 +2456,71 @@ (node (ref U202) (pin 8))) (net (code 185) (name "Net-(U202-Pad9)") (node (ref U202) (pin 9))) - (net (code 186) (name /userif/SW_LEFT) - (node (ref SW901) (pin 1)) - (node (ref U202) (pin 69))) - (net (code 187) (name /userif/SW_UP) - (node (ref SW902) (pin 1)) - (node (ref U202) (pin 67))) - (net (code 188) (name /userif/SW_DOWN) - (node (ref U202) (pin 70)) - (node (ref SW903) (pin 1))) - (net (code 189) (name /userif/SW_RIGHT) + (net (code 186) (name /userif/SW_RIGHT) (node (ref SW904) (pin 1)) (node (ref U202) (pin 68))) - (net (code 190) (name "Net-(D901-Pad4)") - (node (ref D901) (pin 4)) - (node (ref R903) (pin 1))) - (net (code 191) (name "Net-(D901-Pad2)") - (node (ref R901) (pin 1)) - (node (ref D901) (pin 2))) - (net (code 192) (name "Net-(D901-Pad3)") + (net (code 187) (name /userif/SW_DOWN) + (node (ref U202) (pin 70)) + (node (ref SW903) (pin 1))) + (net (code 188) (name /userif/SW_LEFT) + (node (ref SW901) (pin 1)) + (node (ref U202) (pin 69))) + (net (code 189) (name /userif/SW_UP) + (node (ref U202) (pin 67)) + (node (ref SW902) (pin 1))) + (net (code 190) (name "Net-(D901-Pad2)") + (node (ref D901) (pin 2)) + (node (ref R901) (pin 1))) + (net (code 191) (name "Net-(D901-Pad3)") (node (ref D901) (pin 3)) (node (ref R902) (pin 1))) + (net (code 192) (name "Net-(D901-Pad4)") + (node (ref R903) (pin 1)) + (node (ref D901) (pin 4))) (net (code 193) (name /userif/RGBLED2) - (node (ref R903) (pin 2)) - (node (ref U202) (pin 25))) + (node (ref U202) (pin 25)) + (node (ref R903) (pin 2))) (net (code 194) (name /userif/RGBLED1) (node (ref R902) (pin 2)) (node (ref U202) (pin 24))) (net (code 195) (name /userif/RGBLED0) - (node (ref U202) (pin 23)) - (node (ref R901) (pin 2))) - (net (code 196) (name /audio/AOUTR) + (node (ref R901) (pin 2)) + (node (ref U202) (pin 23))) + (net (code 196) (name /audio/AOUTL) (node (ref C804) (pin 1)) - (node (ref R803) (pin 2)) - (node (ref J801) (pin 3))) - (net (code 197) (name /audio/AOUTL) - (node (ref C803) (pin 1)) - (node (ref R802) (pin 1)) - (node (ref J801) (pin 2))) - (net (code 198) (name /audio/DACR) - (node (ref U801) (pin 5)) - (node (ref U207) (pin 16))) - (net (code 199) (name "Net-(R801-Pad1)") + (node (ref J801) (pin 2)) + (node (ref R802) (pin 1))) + (net (code 197) (name /audio/DACR) + (node (ref U207) (pin 16)) + (node (ref U801) (pin 5))) + (net (code 198) (name "Net-(R801-Pad1)") (node (ref U207) (pin 7)) (node (ref R801) (pin 1))) - (net (code 200) (name /audio/FBR) - (node (ref U801) (pin 6)) - (node (ref U801) (pin 7)) - (node (ref C804) (pin 2))) - (net (code 201) (name /audio/DACL) + (net (code 199) (name /audio/DACL) (node (ref U207) (pin 14)) (node (ref U801) (pin 3))) + (net (code 200) (name /audio/FBL) + (node (ref C804) (pin 2)) + (node (ref U801) (pin 1)) + (node (ref U801) (pin 2))) + (net (code 201) (name /audio/AOUTR) + (node (ref J801) (pin 3)) + (node (ref R803) (pin 2)) + (node (ref C805) (pin 1))) (net (code 202) (name /audio/DACVREF) - (node (ref C801) (pin 1)) (node (ref C802) (pin 1)) - (node (ref U207) (pin 12))) - (net (code 203) (name /audio/FBL) - (node (ref C803) (pin 2)) - (node (ref U801) (pin 2)) - (node (ref U801) (pin 1))) + (node (ref U207) (pin 12)) + (node (ref C803) (pin 1))) + (net (code 203) (name /audio/FBR) + (node (ref C805) (pin 2)) + (node (ref U801) (pin 6)) + (node (ref U801) (pin 7))) (net (code 204) (name /FSMC/A23) - (node (ref U205) (pin 5)) - (node (ref U202) (pin 1))) + (node (ref U202) (pin 1)) + (node (ref U205) (pin 5))) (net (code 205) (name /FSMC/A22) - (node (ref U205) (pin 9)) - (node (ref U202) (pin 5))) + (node (ref U202) (pin 5)) + (node (ref U205) (pin 9))) (net (code 206) (name /FSMC/A21) (node (ref U205) (pin 8)) (node (ref U202) (pin 4))) diff --git a/power.sch b/power.sch index 0ce7e2c..ca7c9a8 100644 --- a/power.sch +++ b/power.sch @@ -420,10 +420,10 @@ F 3 "" V 7450 1050 60 0000 C CNN 1 0 0 -1 $EndComp $Comp -L GND #PWR244 +L GND #PWR243 U 1 1 56330682 P 7700 1500 -F 0 "#PWR244" H 7700 1250 50 0001 C CNN +F 0 "#PWR243" H 7700 1250 50 0001 C CNN F 1 "GND" H 7700 1350 50 0000 C CNN F 2 "" H 7700 1500 60 0000 C CNN F 3 "" H 7700 1500 60 0000 C CNN @@ -442,10 +442,10 @@ F 3 "" H 7050 1550 60 0000 C CNN 1 0 0 -1 $EndComp $Comp -L C C240 +L C C241 U 1 1 563362A8 P 6800 1550 -F 0 "C240" H 6825 1650 50 0000 L CNN +F 0 "C241" H 6825 1650 50 0000 L CNN F 1 "100n" H 6825 1450 50 0000 L CNN F 2 "Capacitors_SMD:C_0603" H 6838 1400 30 0001 C CNN F 3 "" H 6800 1550 60 0000 C CNN @@ -455,19 +455,19 @@ $EndComp $Comp L MCP73833 U208 U 1 1 56C1D285 -P 8050 3100 -F 0 "U208" H 8050 3587 60 0000 C CNN -F 1 "MCP73833" H 8050 3481 60 0000 C CNN -F 2 "Housings_SSOP:MSOP-10_3x3mm_Pitch0.5mm" H 7750 3050 60 0001 C CNN -F 3 "" H 7750 3050 60 0000 C CNN - 1 8050 3100 +P 8750 3100 +F 0 "U208" H 8750 3587 60 0000 C CNN +F 1 "MCP73833" H 8750 3481 60 0000 C CNN +F 2 "Housings_SSOP:MSOP-10_3x3mm_Pitch0.5mm" H 8450 3050 60 0001 C CNN +F 3 "" H 8450 3050 60 0000 C CNN + 1 8750 3100 1 0 0 -1 $EndComp $Comp -L VUSB #PWR239 +L VUSB #PWR241 U 1 1 56C1E573 P 7050 1200 -F 0 "#PWR239" H 7050 1050 50 0001 C CNN +F 0 "#PWR241" H 7050 1050 50 0001 C CNN F 1 "VUSB" H 7068 1374 50 0000 C CNN F 2 "" H 7050 1200 50 0000 C CNN F 3 "" H 7050 1200 50 0000 C CNN @@ -475,104 +475,104 @@ F 3 "" H 7050 1200 50 0000 C CNN 1 0 0 -1 $EndComp $Comp -L VBAT #PWR251 +L VBAT #PWR254 U 1 1 56C1ED5D -P 8600 2750 -F 0 "#PWR251" H 8600 2600 50 0001 C CNN -F 1 "VBAT" H 8600 2890 50 0000 C CNN -F 2 "" H 8600 2750 50 0000 C CNN -F 3 "" H 8600 2750 50 0000 C CNN - 1 8600 2750 +P 9300 2750 +F 0 "#PWR254" H 9300 2600 50 0001 C CNN +F 1 "VBAT" H 9300 2890 50 0000 C CNN +F 2 "" H 9300 2750 50 0000 C CNN +F 3 "" H 9300 2750 50 0000 C CNN + 1 9300 2750 1 0 0 -1 $EndComp $Comp -L VUSB #PWR242 +L VUSB #PWR247 U 1 1 56C1F811 -P 7500 2750 -F 0 "#PWR242" H 7500 2600 50 0001 C CNN -F 1 "VUSB" H 7500 2890 50 0000 C CNN -F 2 "" H 7500 2750 50 0000 C CNN -F 3 "" H 7500 2750 50 0000 C CNN - 1 7500 2750 +P 8200 2750 +F 0 "#PWR247" H 8200 2600 50 0001 C CNN +F 1 "VUSB" H 8200 2890 50 0000 C CNN +F 2 "" H 8200 2750 50 0000 C CNN +F 3 "" H 8200 2750 50 0000 C CNN + 1 8200 2750 1 0 0 -1 $EndComp -Text HLabel 7200 3150 0 60 Output ~ 0 +Text HLabel 7900 3150 0 60 Output ~ 0 CHG_ACTIVE_N -Text HLabel 7200 3350 0 60 Output ~ 0 +Text HLabel 7900 3350 0 60 Output ~ 0 USB_PRESENT_N $Comp L CONN_01X03 P202 U 1 1 56C22F77 -P 9100 3250 -F 0 "P202" H 9177 3341 50 0000 L CNN -F 1 "BAT" H 9177 3249 50 0000 L CNN -F 2 "Connect:CNT3BRCMS" H 9100 3250 50 0001 C CNN -F 3 "" H 9100 3250 50 0000 C CNN -F 4 "TODO: Verify pinout" H 9177 3150 60 0000 L CNN "TODO" - 1 9100 3250 +P 9800 3250 +F 0 "P202" H 9877 3341 50 0000 L CNN +F 1 "BAT" H 9877 3249 50 0000 L CNN +F 2 "Connect:CNT3BRCMS" H 9800 3250 50 0001 C CNN +F 3 "" H 9800 3250 50 0000 C CNN +F 4 "TODO: Verify pinout" H 9877 3150 60 0000 L CNN "TODO" + 1 9800 3250 1 0 0 1 $EndComp $Comp -L VBAT #PWR253 +L VBAT #PWR257 U 1 1 56C23113 -P 8850 2750 -F 0 "#PWR253" H 8850 2600 50 0001 C CNN -F 1 "VBAT" H 8850 2890 50 0000 C CNN -F 2 "" H 8850 2750 50 0000 C CNN -F 3 "" H 8850 2750 50 0000 C CNN - 1 8850 2750 +P 9550 2750 +F 0 "#PWR257" H 9550 2600 50 0001 C CNN +F 1 "VBAT" H 9550 2890 50 0000 C CNN +F 2 "" H 9550 2750 50 0000 C CNN +F 3 "" H 9550 2750 50 0000 C CNN + 1 9550 2750 1 0 0 -1 $EndComp $Comp -L GND #PWR243 +L GND #PWR248 U 1 1 56C23816 -P 7500 3950 -F 0 "#PWR243" H 7500 3700 50 0001 C CNN -F 1 "GND" H 7500 3800 50 0000 C CNN -F 2 "" H 7500 3950 60 0000 C CNN -F 3 "" H 7500 3950 60 0000 C CNN - 1 7500 3950 +P 8200 3950 +F 0 "#PWR248" H 8200 3700 50 0001 C CNN +F 1 "GND" H 8200 3800 50 0000 C CNN +F 2 "" H 8200 3950 60 0000 C CNN +F 3 "" H 8200 3950 60 0000 C CNN + 1 8200 3950 1 0 0 -1 $EndComp $Comp -L GND #PWR254 +L GND #PWR258 U 1 1 56C23E07 -P 8850 3400 -F 0 "#PWR254" H 8850 3150 50 0001 C CNN -F 1 "GND" H 8850 3250 50 0000 C CNN -F 2 "" H 8850 3400 60 0000 C CNN -F 3 "" H 8850 3400 60 0000 C CNN - 1 8850 3400 +P 9550 3400 +F 0 "#PWR258" H 9550 3150 50 0001 C CNN +F 1 "GND" H 9550 3250 50 0000 C CNN +F 2 "" H 9550 3400 60 0000 C CNN +F 3 "" H 9550 3400 60 0000 C CNN + 1 9550 3400 1 0 0 -1 $EndComp $Comp -L R R211 +L R R212 U 1 1 56C24FD6 -P 8600 3700 -F 0 "R211" V 8680 3700 50 0000 C CNN -F 1 "2.2k" V 8600 3700 50 0000 C CNN -F 2 "Resistors_SMD:R_0603" V 8530 3700 30 0001 C CNN -F 3 "" H 8600 3700 30 0000 C CNN -F 4 "TODO: Check value" H 9150 3700 60 0000 C CNN "TODO" - 1 8600 3700 +P 9300 3700 +F 0 "R212" V 9380 3700 50 0000 C CNN +F 1 "2.2k" V 9300 3700 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" V 9230 3700 30 0001 C CNN +F 3 "" H 9300 3700 30 0000 C CNN +F 4 "TODO: Check value" H 9850 3700 60 0000 C CNN "TODO" + 1 9300 3700 1 0 0 -1 $EndComp $Comp -L GND #PWR252 +L GND #PWR255 U 1 1 56C253CE -P 8600 4500 -F 0 "#PWR252" H 8600 4250 50 0001 C CNN -F 1 "GND" H 8600 4350 50 0000 C CNN -F 2 "" H 8600 4500 60 0000 C CNN -F 3 "" H 8600 4500 60 0000 C CNN - 1 8600 4500 +P 9300 4500 +F 0 "#PWR255" H 9300 4250 50 0001 C CNN +F 1 "GND" H 9300 4350 50 0000 C CNN +F 2 "" H 9300 4500 60 0000 C CNN +F 3 "" H 9300 4500 60 0000 C CNN + 1 9300 4500 1 0 0 -1 $EndComp $Comp -L VUSB #PWR250 +L VUSB #PWR252 U 1 1 56C2BB98 P 8600 950 -F 0 "#PWR250" H 8600 800 50 0001 C CNN +F 0 "#PWR252" H 8600 800 50 0001 C CNN F 1 "VUSB" H 8600 1090 50 0000 C CNN F 2 "" H 8600 950 50 0000 C CNN F 3 "" H 8600 950 50 0000 C CNN @@ -580,10 +580,10 @@ F 3 "" H 8600 950 50 0000 C CNN 1 0 0 -1 $EndComp $Comp -L VBAT #PWR249 +L VBAT #PWR251 U 1 1 56C2D4CD P 8400 950 -F 0 "#PWR249" H 8400 800 50 0001 C CNN +F 0 "#PWR251" H 8400 800 50 0001 C CNN F 1 "VBAT" H 8400 1090 50 0000 C CNN F 2 "" H 8400 950 50 0000 C CNN F 3 "" H 8400 950 50 0000 C CNN @@ -591,10 +591,10 @@ F 3 "" H 8400 950 50 0000 C CNN 1 0 0 -1 $EndComp $Comp -L Q_PMOS_DGS Q204 +L Q_PMOS_DGS Q203 U 1 1 56C2F230 P 8900 1500 -F 0 "Q204" V 9232 1500 50 0000 C CNN +F 0 "Q203" V 9232 1500 50 0000 C CNN F 1 "FDC608PZ" V 9140 1500 50 0000 C CNN F 2 "footprints:FDC608PZ" H 9100 1600 50 0001 C CNN F 3 "" H 8900 1500 50 0000 C CNN @@ -602,10 +602,10 @@ F 3 "" H 8900 1500 50 0000 C CNN 0 -1 -1 0 $EndComp $Comp -L R R212 +L R R211 U 1 1 56C2F66F P 8900 1950 -F 0 "R212" V 8980 1950 50 0000 C CNN +F 0 "R211" V 8980 1950 50 0000 C CNN F 1 "10k" V 8900 1950 50 0000 C CNN F 2 "Resistors_SMD:R_0603" V 8830 1950 30 0001 C CNN F 3 "" H 8900 1950 30 0000 C CNN @@ -613,10 +613,10 @@ F 3 "" H 8900 1950 30 0000 C CNN 1 0 0 -1 $EndComp $Comp -L GND #PWR255 +L GND #PWR253 U 1 1 56C307A1 P 8900 2150 -F 0 "#PWR255" H 8900 1900 50 0001 C CNN +F 0 "#PWR253" H 8900 1900 50 0001 C CNN F 1 "GND" H 8900 2000 50 0000 C CNN F 2 "" H 8900 2150 60 0000 C CNN F 3 "" H 8900 2150 60 0000 C CNN @@ -646,10 +646,10 @@ F 3 "" H 1000 1000 50 0000 C CNN 1 0 0 -1 $EndComp $Comp -L VSYS #PWR257 +L VSYS #PWR256 U 1 1 56C39AFF P 9350 950 -F 0 "#PWR257" H 9350 800 50 0001 C CNN +F 0 "#PWR256" H 9350 800 50 0001 C CNN F 1 "VSYS" H 9350 1090 50 0000 C CNN F 2 "" H 9350 950 50 0000 C CNN F 3 "" H 9350 950 50 0000 C CNN @@ -657,28 +657,17 @@ F 3 "" H 9350 950 50 0000 C CNN 1 0 0 -1 $EndComp $Comp -L R R206 -U 1 1 56C403C0 -P 7250 2950 -F 0 "R206" V 7330 2950 50 0000 C CNN -F 1 "10k" V 7250 2950 50 0000 C CNN -F 2 "Resistors_SMD:R_0603" V 7180 2950 30 0001 C CNN -F 3 "" H 7250 2950 30 0000 C CNN - 1 7250 2950 - 1 0 0 -1 -$EndComp -$Comp L R R213 U 1 1 56C590A7 -P 8950 4300 -F 0 "R213" V 9030 4300 50 0000 C CNN -F 1 "10k" V 8950 4300 50 0000 C CNN -F 2 "Resistors_SMD:R_0603" V 8880 4300 30 0001 C CNN -F 3 "" H 8950 4300 30 0000 C CNN - 1 8950 4300 +P 9650 4300 +F 0 "R213" V 9730 4300 50 0000 C CNN +F 1 "10k" V 9650 4300 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" V 9580 4300 30 0001 C CNN +F 3 "" H 9650 4300 30 0000 C CNN + 1 9650 4300 -1 0 0 1 $EndComp -Text HLabel 9100 4100 2 60 Input ~ 0 +Text HLabel 9800 4100 2 60 Input ~ 0 CHARGE_ENABLE $Comp L R R214 @@ -703,10 +692,10 @@ F 3 "" H 9700 1550 30 0000 C CNN 1 0 0 -1 $EndComp $Comp -L VSYS #PWR258 +L VSYS #PWR260 U 1 1 56C208D6 P 9700 950 -F 0 "#PWR258" H 9700 800 50 0001 C CNN +F 0 "#PWR260" H 9700 800 50 0001 C CNN F 1 "VSYS" H 9700 1090 50 0000 C CNN F 2 "" H 9700 950 50 0000 C CNN F 3 "" H 9700 950 50 0000 C CNN @@ -714,10 +703,10 @@ F 3 "" H 9700 950 50 0000 C CNN 1 0 0 -1 $EndComp $Comp -L GND #PWR259 +L GND #PWR261 U 1 1 56C20CEB P 9700 1800 -F 0 "#PWR259" H 9700 1550 50 0001 C CNN +F 0 "#PWR261" H 9700 1550 50 0001 C CNN F 1 "GND" H 9700 1650 50 0000 C CNN F 2 "" H 9700 1800 60 0000 C CNN F 3 "" H 9700 1800 60 0000 C CNN @@ -738,17 +727,6 @@ $EndComp Text HLabel 10100 1350 2 60 Output ~ 0 SYS_VOLTAGE $Comp -L R R208 -U 1 1 56C292A1 -P 7400 2950 -F 0 "R208" V 7480 2950 50 0000 C CNN -F 1 "10k" V 7400 2950 50 0000 C CNN -F 2 "Resistors_SMD:R_0603" V 7330 2950 30 0001 C CNN -F 3 "" H 7400 2950 30 0000 C CNN - 1 7400 2950 - 1 0 0 -1 -$EndComp -$Comp L LM2596 U203 U 1 1 56C35664 P 1550 1300 @@ -939,14 +917,14 @@ $EndComp Text HLabel 4400 1400 2 60 Input ~ 0 FPGA_ENABLE_N $Comp -L Q_NMOS_GSD Q203 +L Q_NMOS_GSD Q204 U 1 1 56C543A2 -P 8700 4100 -F 0 "Q203" H 8894 4146 50 0000 L CNN -F 1 "BSH105" H 8894 4054 50 0000 L CNN -F 2 "TO_SOT_Packages_SMD:SOT-23" H 8900 4200 50 0001 C CNN -F 3 "http://www.nxp.com/documents/data_sheet/BSH105.pdf" H 8700 4100 50 0001 C CNN - 1 8700 4100 +P 9400 4100 +F 0 "Q204" H 9594 4146 50 0000 L CNN +F 1 "BSH105" H 9594 4054 50 0000 L CNN +F 2 "TO_SOT_Packages_SMD:SOT-23" H 9600 4200 50 0001 C CNN +F 3 "http://www.nxp.com/documents/data_sheet/BSH105.pdf" H 9400 4100 50 0001 C CNN + 1 9400 4100 -1 0 0 -1 $EndComp $Comp @@ -960,7 +938,7 @@ F 3 "" H 1000 4200 60 0000 C CNN 1 1500 3700 1 0 0 -1 $EndComp -NoConn ~ 7550 3250 +NoConn ~ 8250 3250 $Comp L GND #PWR215 U 1 1 56C4E388 @@ -973,17 +951,6 @@ F 3 "" H 2200 5200 50 0000 C CNN 1 0 0 -1 $EndComp $Comp -L VSTM33 #PWR240 -U 1 1 56C54669 -P 7250 2750 -F 0 "#PWR240" H 7250 2600 50 0001 C CNN -F 1 "VSTM33" H 7250 2890 50 0000 C CNN -F 2 "" H 7250 2750 50 0000 C CNN -F 3 "" H 7250 2750 50 0000 C CNN - 1 7250 2750 - 1 0 0 -1 -$EndComp -$Comp L VSTM33 #PWR207 U 1 1 56C58A5C P 1250 3450 @@ -1175,10 +1142,10 @@ F 3 "" H 750 2700 60 0000 C CNN 1 0 0 -1 $EndComp $Comp -L R R210 +L R R208 U 1 1 56C5AB35 P 7950 1250 -F 0 "R210" V 8030 1250 50 0000 C CNN +F 0 "R208" V 8030 1250 50 0000 C CNN F 1 "0" V 7950 1250 50 0000 C CNN F 2 "Resistors_SMD:R_0603" V 7880 1250 30 0001 C CNN F 3 "" H 7950 1250 30 0000 C CNN @@ -1186,10 +1153,10 @@ F 3 "" H 7950 1250 30 0000 C CNN 1 0 0 -1 $EndComp $Comp -L GND #PWR246 +L GND #PWR245 U 1 1 56C5AF1C P 7950 1500 -F 0 "#PWR246" H 7950 1250 50 0001 C CNN +F 0 "#PWR245" H 7950 1250 50 0001 C CNN F 1 "GND" H 7950 1350 50 0000 C CNN F 2 "" H 7950 1500 60 0000 C CNN F 3 "" H 7950 1500 60 0000 C CNN @@ -1454,10 +1421,10 @@ F 3 "" H 6950 5900 60 0000 C CNN 1 0 0 -1 $EndComp $Comp -L VFPGA33 #PWR237 +L VFPGA33 #PWR239 U 1 1 56CA7120 P 6700 5900 -F 0 "#PWR237" H 6700 5750 50 0001 C CNN +F 0 "#PWR239" H 6700 5750 50 0001 C CNN F 1 "VFPGA33" H 6718 6074 50 0000 C CNN F 2 "" H 6700 5900 50 0000 C CNN F 3 "" H 6700 5900 50 0000 C CNN @@ -1607,33 +1574,33 @@ Wire Wire Line 6800 1250 7050 1250 Connection ~ 7050 1250 Wire Wire Line - 8600 2750 8600 3000 + 9300 2750 9300 3000 Wire Wire Line - 8600 2900 8550 2900 + 9300 2900 9250 2900 Wire Wire Line - 8600 3000 8550 3000 -Connection ~ 8600 2900 + 9300 3000 9250 3000 +Connection ~ 9300 2900 Wire Wire Line - 7500 2750 7500 3000 + 8200 2750 8200 3000 Wire Wire Line - 7500 3000 7550 3000 -Connection ~ 7500 2900 + 8200 3000 8250 3000 +Connection ~ 8200 2900 Wire Wire Line - 7500 3950 7500 3500 + 8200 3950 8200 3500 Wire Wire Line - 7500 3500 7550 3500 + 8200 3500 8250 3500 Wire Wire Line - 8850 3400 8850 3350 + 9550 3400 9550 3350 Wire Wire Line - 8850 3250 8900 3250 + 9550 3250 9600 3250 Wire Wire Line - 8850 3350 8900 3350 + 9550 3350 9600 3350 Wire Wire Line - 8600 3900 8600 3850 + 9300 3900 9300 3850 Wire Wire Line - 8600 3550 8600 3500 + 9300 3550 9300 3500 Wire Wire Line - 8600 3500 8550 3500 + 9300 3500 9250 3500 Wire Wire Line 8400 1400 8700 1400 Wire Wire Line @@ -1660,18 +1627,13 @@ Wire Wire Line 9350 1400 9350 950 Connection ~ 9150 1400 Wire Wire Line - 7250 2750 7250 2800 + 8250 2900 8200 2900 Wire Wire Line - 7550 2900 7500 2900 + 9250 3150 9600 3150 Wire Wire Line - 7250 3100 7250 3150 -Connection ~ 7250 3150 + 7900 3150 8250 3150 Wire Wire Line - 8550 3150 8900 3150 -Wire Wire Line - 7200 3150 7550 3150 -Wire Wire Line - 7200 3350 7550 3350 + 7900 3350 8250 3350 Wire Wire Line 9700 1300 9700 1400 Wire Wire Line @@ -1689,12 +1651,6 @@ Connection ~ 9700 1750 Wire Wire Line 9900 1450 9900 1350 Connection ~ 9900 1350 -Wire Wire Line - 7250 2800 7400 2800 -Connection ~ 7250 2800 -Wire Wire Line - 7400 3100 7400 3350 -Connection ~ 7400 3350 Wire Wire Line 1750 1850 1750 1800 Wire Wire Line @@ -1929,10 +1885,10 @@ Wire Wire Line 7650 6050 7700 6050 Connection ~ 7700 6050 $Comp -L GND #PWR245 +L GND #PWR244 U 1 1 56CA7BD2 P 7700 6100 -F 0 "#PWR245" H 7700 5850 50 0001 C CNN +F 0 "#PWR244" H 7700 5850 50 0001 C CNN F 1 "GND" H 7700 5950 50 0000 C CNN F 2 "" H 7700 6100 60 0000 C CNN F 3 "" H 7700 6100 60 0000 C CNN @@ -1940,10 +1896,10 @@ F 3 "" H 7700 6100 60 0000 C CNN 1 0 0 -1 $EndComp $Comp -L C C242 +L C C243 U 1 1 56CA8222 P 8300 6000 -F 0 "C242" H 8325 6100 50 0000 L CNN +F 0 "C243" H 8325 6100 50 0000 L CNN F 1 "100n" H 8325 5900 50 0000 L CNN F 2 "Capacitors_SMD:C_0603" H 8338 5850 30 0001 C CNN F 3 "" H 8300 6000 60 0000 C CNN @@ -1951,10 +1907,10 @@ F 3 "" H 8300 6000 60 0000 C CNN 1 0 0 -1 $EndComp $Comp -L C C243 +L C C244 U 1 1 56CA85F2 P 8500 6000 -F 0 "C243" H 8525 6100 50 0000 L CNN +F 0 "C244" H 8525 6100 50 0000 L CNN F 1 "100n" H 8525 5900 50 0000 L CNN F 2 "Capacitors_SMD:C_0603" H 8538 5850 30 0001 C CNN F 3 "" H 8500 6000 60 0000 C CNN @@ -1962,10 +1918,10 @@ F 3 "" H 8500 6000 60 0000 C CNN 1 0 0 -1 $EndComp $Comp -L C C241 +L C C242 U 1 1 56CA8FB9 P 8100 6000 -F 0 "C241" H 8125 6100 50 0000 L CNN +F 0 "C242" H 8125 6100 50 0000 L CNN F 1 "10u" H 8125 5900 50 0000 L CNN F 2 "Capacitors_SMD:C_0805" H 8138 5850 30 0001 C CNN F 3 "" H 8100 6000 60 0000 C CNN @@ -1979,10 +1935,10 @@ Wire Wire Line 8100 6150 8500 6150 Connection ~ 8300 6150 $Comp -L GND #PWR248 +L GND #PWR250 U 1 1 56CA9ED8 P 8300 6200 -F 0 "#PWR248" H 8300 5950 50 0001 C CNN +F 0 "#PWR250" H 8300 5950 50 0001 C CNN F 1 "GND" H 8300 6050 50 0000 C CNN F 2 "" H 8300 6200 60 0000 C CNN F 3 "" H 8300 6200 60 0000 C CNN @@ -1994,10 +1950,10 @@ Wire Wire Line Wire Wire Line 8300 5850 8300 5800 $Comp -L VFPGA33 #PWR247 +L VFPGA33 #PWR249 U 1 1 56CAA2F9 P 8300 5800 -F 0 "#PWR247" H 8300 5650 50 0001 C CNN +F 0 "#PWR249" H 8300 5650 50 0001 C CNN F 1 "VFPGA33" H 8318 5974 50 0000 C CNN F 2 "" H 8300 5800 50 0000 C CNN F 3 "" H 8300 5800 50 0000 C CNN @@ -2012,10 +1968,10 @@ NoConn ~ 7600 1450 Wire Wire Line 7050 1900 7300 1900 $Comp -L GND #PWR238 +L GND #PWR240 U 1 1 56CD8CEE P 6800 1900 -F 0 "#PWR238" H 6800 1650 50 0001 C CNN +F 0 "#PWR240" H 6800 1650 50 0001 C CNN F 1 "GND" H 6808 1726 50 0000 C CNN F 2 "" H 6800 1900 50 0000 C CNN F 3 "" H 6800 1900 50 0000 C CNN @@ -2023,34 +1979,34 @@ F 3 "" H 6800 1900 50 0000 C CNN 1 0 0 -1 $EndComp Wire Wire Line - 8600 4500 8600 4300 + 9300 4500 9300 4300 Wire Wire Line - 8950 4150 8950 4100 + 9650 4150 9650 4100 Wire Wire Line - 8900 4100 9100 4100 + 9600 4100 9800 4100 $Comp -L GND #PWR256 +L GND #PWR259 U 1 1 56CAF6AE -P 8950 4500 -F 0 "#PWR256" H 8950 4250 50 0001 C CNN -F 1 "GND" H 8950 4350 50 0000 C CNN -F 2 "" H 8950 4500 60 0000 C CNN -F 3 "" H 8950 4500 60 0000 C CNN - 1 8950 4500 +P 9650 4500 +F 0 "#PWR259" H 9650 4250 50 0001 C CNN +F 1 "GND" H 9650 4350 50 0000 C CNN +F 2 "" H 9650 4500 60 0000 C CNN +F 3 "" H 9650 4500 60 0000 C CNN + 1 9650 4500 1 0 0 -1 $EndComp Wire Wire Line - 8950 4500 8950 4450 -Connection ~ 8950 4100 -Text Label 8550 3150 0 60 ~ 0 + 9650 4500 9650 4450 +Connection ~ 9650 4100 +Text Label 9250 3150 0 60 ~ 0 THERM -Text Label 8550 3500 0 60 ~ 0 +Text Label 9250 3500 0 60 ~ 0 PROG $Comp -L R R207 +L R R206 U 1 1 56CCFDB8 P 7400 1650 -F 0 "R207" V 7450 1800 50 0000 C CNN +F 0 "R206" V 7450 1800 50 0000 C CNN F 1 "DNP" V 7400 1650 50 0000 C CNN F 2 "Resistors_SMD:R_0603" V 7330 1650 30 0001 C CNN F 3 "" H 7400 1650 30 0000 C CNN @@ -2058,10 +2014,10 @@ F 3 "" H 7400 1650 30 0000 C CNN 1 0 0 -1 $EndComp $Comp -L R R209 +L R R207 U 1 1 56CCFECF P 7500 1650 -F 0 "R209" V 7550 1800 50 0000 C CNN +F 0 "R207" V 7550 1800 50 0000 C CNN F 1 "DNP" V 7500 1650 50 0000 C CNN F 2 "Resistors_SMD:R_0603" V 7430 1650 30 0001 C CNN F 3 "" H 7500 1650 30 0000 C CNN @@ -2082,10 +2038,10 @@ Wire Wire Line 7450 1850 7450 1900 Connection ~ 7450 1850 $Comp -L GND #PWR241 +L GND #PWR242 U 1 1 56CD08CE P 7450 1900 -F 0 "#PWR241" H 7450 1650 50 0001 C CNN +F 0 "#PWR242" H 7450 1650 50 0001 C CNN F 1 "GND" H 7458 1726 50 0000 C CNN F 2 "" H 7450 1900 50 0000 C CNN F 3 "" H 7450 1900 50 0000 C CNN @@ -2095,18 +2051,18 @@ $EndComp $Comp L F_Small F202 U 1 1 56D7584B -P 8850 2950 -F 0 "F202" V 8804 2998 50 0000 L CNN -F 1 "1A" V 8896 2998 50 0000 L CNN -F 2 "Resistors_SMD:R_1206" H 8850 2950 50 0001 C CNN -F 3 "" H 8850 2950 50 0000 C CNN - 1 8850 2950 +P 9550 2950 +F 0 "F202" V 9504 2998 50 0000 L CNN +F 1 "1A" V 9596 2998 50 0000 L CNN +F 2 "Resistors_SMD:R_1206" H 9550 2950 50 0001 C CNN +F 3 "" H 9550 2950 50 0000 C CNN + 1 9550 2950 0 1 1 0 $EndComp Wire Wire Line - 8850 3050 8850 3250 + 9550 3050 9550 3250 Wire Wire Line - 8850 2850 8850 2750 + 9550 2850 9550 2750 $Comp L F_Small F201 U 1 1 56D79A3E @@ -2122,6 +2078,55 @@ Wire Wire Line 7300 1900 7300 1850 Wire Wire Line 7300 1650 7300 1450 -Text Label 8600 3900 0 60 ~ 0 +Text Label 9300 3900 0 60 ~ 0 PROG_SWITCHED +Text Label 2100 1300 0 60 ~ 0 +SSout +Text Label 4700 1850 0 60 ~ 0 +LSout +$Comp +L VUSB #PWR237 +U 1 1 56D89F3B +P 6700 2750 +F 0 "#PWR237" H 6700 2600 50 0001 C CNN +F 1 "VUSB" H 6700 2890 50 0000 C CNN +F 2 "" H 6700 2750 50 0000 C CNN +F 3 "" H 6700 2750 50 0000 C CNN + 1 6700 2750 + 1 0 0 -1 +$EndComp +$Comp +L C C240 +U 1 1 56D8A1C6 +P 6700 3300 +F 0 "C240" H 6725 3400 50 0000 L CNN +F 1 "100n" H 6725 3200 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 6738 3150 30 0001 C CNN +F 3 "" H 6700 3300 60 0000 C CNN + 1 6700 3300 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6700 3150 6700 2750 +Wire Wire Line + 6700 3450 6700 3950 +$Comp +L GND #PWR238 +U 1 1 56D8A759 +P 6700 3950 +F 0 "#PWR238" H 6700 3700 50 0001 C CNN +F 1 "GND" H 6700 3800 50 0000 C CNN +F 2 "" H 6700 3950 60 0000 C CNN +F 3 "" H 6700 3950 60 0000 C CNN + 1 6700 3950 + 1 0 0 -1 +$EndComp +Text Label 7400 1450 0 60 ~ 0 +D- +Text Label 7500 1500 0 60 ~ 0 +D+ +Text Label 9550 3250 0 60 ~ 0 +B+ +Text Notes 7050 3300 0 60 ~ 0 +These need SW Pullup $EndSCHEMATC diff --git a/sdram.sch b/sdram.sch index cddf036..1a549a0 100644 --- a/sdram.sch +++ b/sdram.sch @@ -100,25 +100,25 @@ DQ1 Text Label 2850 2900 0 60 ~ 0 DQ2 Text Label 2850 3000 0 60 ~ 0 -DQ3 -Text Label 2850 3100 0 60 ~ 0 -DQ4 -Text Label 2850 3200 0 60 ~ 0 -DQ5 -Text Label 2850 3300 0 60 ~ 0 -DQ6 -Text Label 2850 3400 0 60 ~ 0 -DQ7 -Text Label 2850 3500 0 60 ~ 0 -DQ8 -Text Label 2850 3600 0 60 ~ 0 -DQ9 -Text Label 2850 3700 0 60 ~ 0 -DQ10 -Text Label 2850 3800 0 60 ~ 0 -DQ11 -Text Label 2850 3900 0 60 ~ 0 DQ12 +Text Label 2850 3100 0 60 ~ 0 +DQ11 +Text Label 2850 3200 0 60 ~ 0 +DQ10 +Text Label 2850 3300 0 60 ~ 0 +DQ5 +Text Label 2850 3400 0 60 ~ 0 +DQ8 +Text Label 2850 3500 0 60 ~ 0 +DQ7 +Text Label 2850 3600 0 60 ~ 0 +DQ6 +Text Label 2850 3700 0 60 ~ 0 +DQ9 +Text Label 2850 3800 0 60 ~ 0 +DQ4 +Text Label 2850 3900 0 60 ~ 0 +DQ3 Text Label 2850 4000 0 60 ~ 0 DQ13 Text Label 2850 4100 0 60 ~ 0 @@ -486,9 +486,9 @@ CLK Text Label 8500 2300 0 60 ~ 0 CAS Text Label 8500 2200 0 60 ~ 0 -CKE -Text Label 8500 2100 0 60 ~ 0 RAS +Text Label 8500 2100 0 60 ~ 0 +CKE Text Label 8500 2000 0 60 ~ 0 CS Text Label 8500 1900 0 60 ~ 0 @@ -553,11 +553,11 @@ Text HLabel 5650 3000 0 60 Output ~ 0 AWS Text HLabel 5650 3100 0 60 Output ~ 0 ABCK -Text HLabel 5650 2600 0 60 Output ~ 0 -ADATA Text HLabel 5650 2800 0 60 Output ~ 0 -ACLK +ADATA Text HLabel 5650 2700 0 60 Output ~ 0 +ACLK +Text HLabel 5650 2600 0 60 Output ~ 0 L3DATA Text HLabel 5650 2500 0 60 Output ~ 0 L3MODE