fpgna/fpgna.net

1969 lines
71 KiB
Plaintext

(export (version D)
(design
(source /home/markus/projects/kicad/fpgna/fpgna.sch)
(date "Fr 19 Feb 2016 17:24:13 CET")
(tool "Eeschema (2016-02-12 BZR 6554)-stable")
(sheet (number 1) (name /) (tstamps /)
(title_block
(title FPGna)
(company Shimatta)
(rev)
(date)
(source fpgna.sch)
(comment (number 1) (value ""))
(comment (number 2) (value ""))
(comment (number 3) (value ""))
(comment (number 4) (value ""))))
(sheet (number 2) (name /powersupply/) (tstamps /563244F7/)
(title_block
(title FPGna)
(company Shimatta)
(rev)
(date)
(source power.sch)
(comment (number 1) (value "Power supply and clock"))
(comment (number 2) (value ""))
(comment (number 3) (value ""))
(comment (number 4) (value ""))))
(sheet (number 3) (name /debug/) (tstamps /56337D98/)
(title_block
(title)
(company)
(rev)
(date)
(source debug.sch)
(comment (number 1) (value ""))
(comment (number 2) (value ""))
(comment (number 3) (value ""))
(comment (number 4) (value ""))))
(sheet (number 4) (name /FSMC/) (tstamps /56C43C85/)
(title_block
(title)
(company)
(rev)
(date)
(source FSMC.sch)
(comment (number 1) (value ""))
(comment (number 2) (value ""))
(comment (number 3) (value ""))
(comment (number 4) (value ""))))
(sheet (number 5) (name /display/) (tstamps /56C579FC/)
(title_block
(title)
(company)
(rev)
(date)
(source display.sch)
(comment (number 1) (value ""))
(comment (number 2) (value ""))
(comment (number 3) (value ""))
(comment (number 4) (value ""))))
(sheet (number 6) (name /sdram/) (tstamps /56C6F777/)
(title_block
(title)
(company)
(rev)
(date)
(source sdram.sch)
(comment (number 1) (value ""))
(comment (number 2) (value ""))
(comment (number 3) (value ""))
(comment (number 4) (value "")))))
(components
(comp (ref U3)
(value LFXP2-5E-6TN144C)
(footprint Housings_QFP:LQFP-144_20x20mm_Pitch0.5mm)
(datasheet http://www.latticesemi.com/~/media/LatticeSemi/Documents/DataSheets/LatticeXP2/LatticeXP2FamilyDataSheet.pdf)
(fields
(field (name Version) 1.0)
(field (name Author) "Makise Kurisu <kurisu@shimatta.de>"))
(libsource (lib lattice) (part LFXP2-5E-6TN144C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56323459))
(comp (ref R2)
(value 10k)
(libsource (lib device) (part R))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56323FAE))
(comp (ref R3)
(value 10k)
(libsource (lib device) (part R))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56324015))
(comp (ref C3)
(value 10u)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56324973))
(comp (ref U1)
(value LD1117S12CTR)
(footprint TO_SOT_Packages_SMD:SOT-223)
(libsource (lib regul) (part LD1117S12CTR))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 5632574B))
(comp (ref C2)
(value 10u)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56325A6A))
(comp (ref C5)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56325A82))
(comp (ref L2)
(value INDUCTOR)
(libsource (lib device) (part INDUCTOR))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56325A95))
(comp (ref C9)
(value 10u)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56326B84))
(comp (ref C11)
(value 1u)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56326C38))
(comp (ref C13)
(value 100n)
(footprint Capacitors_SMD:C_0603_HandSoldering)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56326CB4))
(comp (ref C15)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56326D36))
(comp (ref C17)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56326D8B))
(comp (ref C19)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56326DDB))
(comp (ref C21)
(value 10n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56326E2A))
(comp (ref C8)
(value 10u)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 563274D8))
(comp (ref C10)
(value 1u)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 563274DE))
(comp (ref C12)
(value 100n)
(footprint Capacitors_SMD:C_0603)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 563274E4))
(comp (ref C14)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 563274EA))
(comp (ref C16)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 563274F0))
(comp (ref C18)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 563274F6))
(comp (ref C20)
(value 10n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 563274FC))
(comp (ref C22)
(value 10n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 563277BE))
(comp (ref C23)
(value 10n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 5632782A))
(comp (ref X1)
(value TCXO_DFAS11)
(libsource (lib Oscillators) (part TCXO_DFAS11))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56328B0D))
(comp (ref P1)
(value USB_OTG)
(libsource (lib conn) (part USB_OTG))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 5632B6C6))
(comp (ref L3)
(value INDUCTOR)
(libsource (lib device) (part INDUCTOR))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56331D46))
(comp (ref C7)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 563362A8))
(comp (ref U5)
(value MCP73833)
(libsource (lib pmic) (part MCP73833))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C1D285))
(comp (ref P2)
(value BAT)
(fields
(field (name TODO) "TODO: Verify pinout"))
(libsource (lib conn) (part CONN_01X03))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C22F77))
(comp (ref R8)
(value 2.2k)
(libsource (lib device) (part R))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C24FD6))
(comp (ref Q3)
(value 3.3LogicLv-2A)
(libsource (lib device) (part Q_PMOS_DGS))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C2F230))
(comp (ref R10)
(value 10k)
(libsource (lib device) (part R))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C2F66F))
(comp (ref D3)
(value Schottky)
(libsource (lib device) (part D_Schottky_Small))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C35CB0))
(comp (ref R4)
(value 10k)
(libsource (lib device) (part R))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C403C0))
(comp (ref R9)
(value 10k)
(libsource (lib device) (part R))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C4F34C))
(comp (ref R12)
(value 500)
(libsource (lib device) (part R))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C590A7))
(comp (ref R11)
(value 10k)
(libsource (lib device) (part R))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C592CD))
(comp (ref R5)
(value 10k)
(libsource (lib device) (part R))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C1FB0E))
(comp (ref R6)
(value 10k)
(libsource (lib device) (part R))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C20160))
(comp (ref D2)
(value 3.3V)
(libsource (lib device) (part ZENERsmall))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C21EC8))
(comp (ref R7)
(value 10k)
(libsource (lib device) (part R))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C292A1))
(comp (ref U2)
(value LM2596/33)
(libsource (lib regulators) (part LM2596))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C35664))
(comp (ref D1)
(value Schottky)
(libsource (lib device) (part D_Schottky_Small))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C2CCFA))
(comp (ref L1)
(value 15u)
(libsource (lib device) (part INDUCTOR))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C2DF1B))
(comp (ref C6)
(value 220u)
(libsource (lib device) (part CP))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C2E260))
(comp (ref C1)
(value 220u)
(libsource (lib device) (part CP))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C2EA4B))
(comp (ref Q1)
(value 3.3LogicLv-2A)
(datasheet http://www.digikey.com/product-search/en/discrete-semiconductor-products/fets-single/1376381)
(libsource (lib device) (part Q_PMOS_DGS))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C35C12))
(comp (ref R1)
(value 10k)
(libsource (lib device) (part R))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C37B0F))
(comp (ref Q2)
(value NMOS-LPLL)
(libsource (lib device) (part Q_NMOS_DGS))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C543A2))
(comp (ref Q4)
(value NMOS-LPLL)
(libsource (lib device) (part Q_NMOS_DGS))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C56373))
(comp (ref U4)
(value STM32F407VGT)
(footprint footprints:LQFP-100_14x14mm_Pitch0.5mm-SMALLCORNER)
(libsource (lib stm32f4) (part STM32F407VGT))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C476C3))
(comp (ref C26)
(value 2.2u)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C5C319))
(comp (ref C25)
(value 2.2u)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C5D114))
(comp (ref C4)
(value 10u)
(libsource (lib device) (part CP))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C62D98))
(comp (ref C33)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C5067D))
(comp (ref C34)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C50683))
(comp (ref C35)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C50689))
(comp (ref C36)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C5068F))
(comp (ref C37)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C50695))
(comp (ref C38)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C5069B))
(comp (ref C39)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C506A1))
(comp (ref C32)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C50D95))
(comp (ref C31)
(value 10u)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C52C65))
(comp (ref R15)
(value 0)
(libsource (lib device) (part R))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C5AB35))
(comp (ref U6)
(value ITDB02-3.2WD)
(footprint itead-display:ITDB02-3.2WD)
(libsource (lib itead-displays) (part ITDB02-3.2WD))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C57ED6))
(comp (ref C30)
(value 2.2u)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C58841))
(comp (ref Q5)
(value 3.3LogicLv-P)
(datasheet http://www.digikey.com/product-search/en/discrete-semiconductor-products/fets-single/1376381)
(libsource (lib device) (part Q_PMOS_DGS))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C5D295))
(comp (ref R13)
(value 10k)
(libsource (lib device) (part R))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C641C3))
(comp (ref R14)
(value 0)
(libsource (lib device) (part R))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C66E4A))
(comp (ref C42)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C5BFA7))
(comp (ref U7)
(value IS42S16800F)
(footprint smd:TSOP-54)
(libsource (lib fpgna-rescue) (part IS42S16800F-RESCUE-fpgna))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C702B2))
(comp (ref C46)
(value 100n)
(footprint Capacitors_SMD:C_0603_HandSoldering)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C786E8))
(comp (ref C47)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C786EE))
(comp (ref C48)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C786F4))
(comp (ref C49)
(value 10n)
(libsource (lib device) (part C))
(sheetpath (names /powersupply/) (tstamps /563244F7/))
(tstamp 56C78700))
(comp (ref P3)
(value Lattice-JTAG)
(libsource (lib lattice) (part Lattice-JTAG))
(sheetpath (names /debug/) (tstamps /56337D98/))
(tstamp 56338EF4))
(comp (ref C24)
(value 10n)
(libsource (lib device) (part C))
(sheetpath (names /debug/) (tstamps /56337D98/))
(tstamp 56339277))
(comp (ref Y1)
(value 8M)
(libsource (lib device) (part Crystal_Small))
(sheetpath (names /debug/) (tstamps /56337D98/))
(tstamp 56C71642))
(comp (ref C40)
(value 5p)
(libsource (lib device) (part C_Small))
(sheetpath (names /debug/) (tstamps /56337D98/))
(tstamp 56C717BF))
(comp (ref C41)
(value 5p)
(libsource (lib device) (part C_Small))
(sheetpath (names /debug/) (tstamps /56337D98/))
(tstamp 56C7180C))
(comp (ref P4)
(value JTAG-STM)
(libsource (lib conn) (part CONN_01X06))
(sheetpath (names /debug/) (tstamps /56337D98/))
(tstamp 56C73EAE))
(comp (ref C28)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /FSMC/) (tstamps /56C43C85/))
(tstamp 56C675D2))
(comp (ref C29)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /FSMC/) (tstamps /56C43C85/))
(tstamp 56C676A2))
(comp (ref C27)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /FSMC/) (tstamps /56C43C85/))
(tstamp 56C67EC9))
(comp (ref C44)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /display/) (tstamps /56C579FC/))
(tstamp 56C62B5E))
(comp (ref C45)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /display/) (tstamps /56C579FC/))
(tstamp 56C62B65))
(comp (ref C43)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /display/) (tstamps /56C579FC/))
(tstamp 56C62CBE))
(comp (ref C51)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /sdram/) (tstamps /56C6F777/))
(tstamp 56C80517))
(comp (ref C50)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /sdram/) (tstamps /56C6F777/))
(tstamp 56C8051E))
(comp (ref C54)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /sdram/) (tstamps /56C6F777/))
(tstamp 56C80792))
(comp (ref C53)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /sdram/) (tstamps /56C6F777/))
(tstamp 56C80798))
(comp (ref C52)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /sdram/) (tstamps /56C6F777/))
(tstamp 56C80907))
(comp (ref C55)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /sdram/) (tstamps /56C6F777/))
(tstamp 56C80AD2)))
(libparts
(libpart (lib device) (part C)
(description "Unpolarized capacitor")
(footprints
(fp C?)
(fp C_????_*)
(fp C_????)
(fp SMD*_c)
(fp Capacitor*))
(fields
(field (name Reference) C)
(field (name Value) C))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib conn) (part CONN_01X03)
(description "Connector 01x03")
(footprints
(fp Pin_Header_Straight_1X03)
(fp Pin_Header_Angled_1X03)
(fp Socket_Strip_Straight_1X03)
(fp Socket_Strip_Angled_1X03))
(fields
(field (name Reference) P)
(field (name Value) CONN_01X03))
(pins
(pin (num 1) (name P1) (type passive))
(pin (num 2) (name P2) (type passive))
(pin (num 3) (name P3) (type passive))))
(libpart (lib conn) (part CONN_01X06)
(description "Connector 01x06")
(footprints
(fp Pin_Header_Straight_1X06)
(fp Pin_Header_Angled_1X06)
(fp Socket_Strip_Straight_1X06)
(fp Socket_Strip_Angled_1X06))
(fields
(field (name Reference) P)
(field (name Value) CONN_01X06))
(pins
(pin (num 1) (name P1) (type passive))
(pin (num 2) (name P2) (type passive))
(pin (num 3) (name P3) (type passive))
(pin (num 4) (name P4) (type passive))
(pin (num 5) (name P5) (type passive))
(pin (num 6) (name P6) (type passive))))
(libpart (lib device) (part CP)
(description "Polarised capacitor")
(footprints
(fp CP*)
(fp Elko*)
(fp TantalC*)
(fp C*elec)
(fp c_elec*)
(fp SMD*_Pol))
(fields
(field (name Reference) C)
(field (name Value) CP))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib device) (part C_Small)
(description "Unpolarized capacitor")
(footprints
(fp C?)
(fp C_????_*)
(fp C_????)
(fp SMD*_c)
(fp Capacitor*))
(fields
(field (name Reference) C)
(field (name Value) C_Small))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib device) (part Crystal_Small)
(description "Two pin crystal")
(footprints
(fp Crystal_))
(fields
(field (name Reference) Y)
(field (name Value) Crystal_Small))
(pins
(pin (num 1) (name 1) (type passive))
(pin (num 2) (name 2) (type passive))))
(libpart (lib device) (part D_Schottky_Small)
(description "Diode Schottky")
(footprints
(fp Diode_*)
(fp D-Pak_TO252AA)
(fp *SingleDiode)
(fp *SingleDiode*)
(fp *_Diode_*))
(fields
(field (name Reference) D)
(field (name Value) D_Schottky_Small))
(pins
(pin (num 1) (name K) (type passive))
(pin (num 2) (name A) (type passive))))
(libpart (lib device) (part INDUCTOR)
(fields
(field (name Reference) L)
(field (name Value) INDUCTOR))
(pins
(pin (num 1) (name 1) (type passive))
(pin (num 2) (name 2) (type passive))))
(libpart (lib fpgna-rescue) (part IS42S16800F-RESCUE-fpgna)
(fields
(field (name Reference) U)
(field (name Value) IS42S16800F-RESCUE-fpgna))
(pins
(pin (num 1) (name VDD) (type power_in))
(pin (num 2) (name DQ0) (type BiDi))
(pin (num 3) (name VDDQ) (type power_in))
(pin (num 4) (name DQ1) (type BiDi))
(pin (num 5) (name DQ2) (type BiDi))
(pin (num 6) (name VSSQ) (type power_in))
(pin (num 7) (name DQ3) (type BiDi))
(pin (num 8) (name DQ4) (type BiDi))
(pin (num 9) (name VDDQ) (type power_in))
(pin (num 10) (name DQ5) (type BiDi))
(pin (num 11) (name DQ6) (type BiDi))
(pin (num 12) (name VSSQ) (type power_in))
(pin (num 13) (name DQ7) (type BiDi))
(pin (num 14) (name VDD) (type power_in))
(pin (num 15) (name DQML) (type input))
(pin (num 16) (name WE) (type input))
(pin (num 17) (name CAS) (type input))
(pin (num 18) (name RAS) (type input))
(pin (num 19) (name CS) (type input))
(pin (num 20) (name BA0) (type input))
(pin (num 21) (name BA1) (type input))
(pin (num 22) (name A10) (type input))
(pin (num 23) (name A0) (type input))
(pin (num 24) (name A1) (type input))
(pin (num 25) (name A2) (type input))
(pin (num 26) (name A3) (type input))
(pin (num 27) (name VDD) (type power_in))
(pin (num 28) (name VSS) (type power_in))
(pin (num 29) (name A4) (type input))
(pin (num 30) (name A5) (type input))
(pin (num 31) (name A6) (type input))
(pin (num 32) (name A7) (type input))
(pin (num 33) (name A8) (type input))
(pin (num 34) (name A9) (type input))
(pin (num 35) (name A11) (type input))
(pin (num 36) (name NC) (type NotConnected))
(pin (num 37) (name CKE) (type input))
(pin (num 38) (name CLK) (type input))
(pin (num 39) (name DQMH) (type input))
(pin (num 40) (name NC) (type NotConnected))
(pin (num 41) (name VSS) (type power_in))
(pin (num 42) (name DQ8) (type BiDi))
(pin (num 43) (name VDDQ) (type power_in))
(pin (num 44) (name DQ9) (type BiDi))
(pin (num 45) (name DQ10) (type BiDi))
(pin (num 46) (name VSSQ) (type power_in))
(pin (num 47) (name DQ11) (type BiDi))
(pin (num 48) (name DQ12) (type BiDi))
(pin (num 49) (name VDDQ) (type power_in))
(pin (num 50) (name DQ13) (type BiDi))
(pin (num 51) (name DQ14) (type BiDi))
(pin (num 52) (name VSSQ) (type power_in))
(pin (num 53) (name DQ15) (type BiDi))
(pin (num 54) (name VSS) (type power_in))))
(libpart (lib itead-displays) (part ITDB02-3.2WD)
(fields
(field (name Reference) U)
(field (name Value) ITDB02-3.2WD))
(pins
(pin (num 1) (name GND) (type power_in))
(pin (num 2) (name DB0) (type BiDi))
(pin (num 3) (name VCC) (type power_in))
(pin (num 4) (name DB1) (type BiDi))
(pin (num 5) (name NC5) (type BiDi))
(pin (num 6) (name DB2) (type BiDi))
(pin (num 7) (name RS) (type input))
(pin (num 8) (name DB3) (type BiDi))
(pin (num 9) (name WR) (type input))
(pin (num 10) (name DB4) (type BiDi))
(pin (num 11) (name RD) (type input))
(pin (num 12) (name DB5) (type BiDi))
(pin (num 13) (name DB8) (type BiDi))
(pin (num 14) (name DB6) (type BiDi))
(pin (num 15) (name DB9) (type BiDi))
(pin (num 16) (name DB7) (type BiDi))
(pin (num 17) (name DB10) (type BiDi))
(pin (num 18) (name T_CLK) (type input))
(pin (num 19) (name DB11) (type BiDi))
(pin (num 20) (name T_CS) (type input))
(pin (num 21) (name DB12) (type BiDi))
(pin (num 22) (name T_DIN) (type input))
(pin (num 23) (name DB13) (type BiDi))
(pin (num 24) (name T_BUSY) (type input))
(pin (num 25) (name DB14) (type BiDi))
(pin (num 26) (name T_DOUT) (type output))
(pin (num 27) (name DB15) (type BiDi))
(pin (num 28) (name T_IRQ) (type output))
(pin (num 29) (name CS) (type input))
(pin (num 30) (name SD_SO) (type output))
(pin (num 31) (name NC31) (type BiDi))
(pin (num 32) (name SD_SCK) (type output))
(pin (num 33) (name RST) (type input))
(pin (num 34) (name SD_SI) (type input))
(pin (num 35) (name NC35) (type BiDi))
(pin (num 36) (name SD_NSS) (type input))
(pin (num 37) (name LED+) (type power_in))
(pin (num 38) (name NC38) (type input))
(pin (num 39) (name NC39) (type BiDi))
(pin (num 40) (name NC40) (type BiDi))))
(libpart (lib regul) (part LD1117S33TR)
(aliases
(alias LD1117S33CTR)
(alias LD1117S12TR)
(alias LD1117S12CTR)
(alias LD1117S18TR)
(alias LD1117S18CTR)
(alias LD1117S25TR)
(alias LD1117S25CTR)
(alias LD1117S50TR)
(alias LD1117S50CTR))
(description "800mA Fixed Low Drop Positive Voltage Regulator, Fixed Output 3.3V, SOT223")
(docs http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00000544.pdf)
(footprints
(fp SOT223))
(fields
(field (name Reference) U)
(field (name Value) LD1117S33TR)
(field (name Footprint) SOT-223))
(pins
(pin (num 1) (name GND) (type power_in))
(pin (num 2) (name VO) (type power_out))
(pin (num 3) (name VI) (type power_in))))
(libpart (lib lattice) (part LFXP2-5E-6TN144C)
(description "Lattice XP2-5E FPGA (TQFP144, Speedgrade -6)")
(docs http://www.latticesemi.com/en/Products/FPGAandCPLD/LatticeXP2.aspx)
(footprints
(fp TQFP-144))
(fields
(field (name Reference) U)
(field (name Value) LFXP2-5E-6TN144C)
(field (name Datasheet) http://www.latticesemi.com/~/media/LatticeSemi/Documents/DataSheets/LatticeXP2/LatticeXP2FamilyDataSheet.pdf)
(field (name Version) 1.0)
(field (name Author) "Makise Kurisu <kurisu@shimatta.de>"))
(pins
(pin (num 1) (name PL2A) (type BiDi))
(pin (num 2) (name PL2B) (type BiDi))
(pin (num 3) (name GNDIO72) (type power_in))
(pin (num 4) (name VCCIO72) (type power_in))
(pin (num 5) (name PL5A) (type BiDi))
(pin (num 6) (name PL5B) (type BiDi))
(pin (num 7) (name PL6A) (type BiDi))
(pin (num 8) (name PL6B) (type BiDi))
(pin (num 9) (name PL7A) (type BiDi))
(pin (num 10) (name PL7B) (type BiDi))
(pin (num 11) (name PL8A) (type BiDi))
(pin (num 12) (name GNDIO71) (type power_in))
(pin (num 13) (name PL8B) (type BiDi))
(pin (num 14) (name VCCIO71) (type power_in))
(pin (num 15) (name PL9A) (type BiDi))
(pin (num 16) (name PL10A) (type BiDi))
(pin (num 17) (name PL9B) (type BiDi))
(pin (num 18) (name PL10B) (type BiDi))
(pin (num 19) (name PL11A) (type BiDi))
(pin (num 20) (name PL11B) (type BiDi))
(pin (num 21) (name PL12A) (type BiDi))
(pin (num 22) (name PL12B) (type BiDi))
(pin (num 23) (name VCCAUX1) (type power_in))
(pin (num 24) (name VCC1) (type power_in))
(pin (num 25) (name TOE) (type input))
(pin (num 26) (name CFG0) (type input))
(pin (num 27) (name PL15A) (type BiDi))
(pin (num 28) (name PL14A) (type BiDi))
(pin (num 29) (name PL15B) (type BiDi))
(pin (num 30) (name PL14B) (type BiDi))
(pin (num 31) (name PL16B) (type BiDi))
(pin (num 32) (name PL16A) (type BiDi))
(pin (num 33) (name VCCIO6) (type power_in))
(pin (num 34) (name GNDIO6) (type power_in))
(pin (num 35) (name PL25A) (type BiDi))
(pin (num 36) (name PL25B) (type BiDi))
(pin (num 37) (name PB8A) (type BiDi))
(pin (num 38) (name PB7A) (type BiDi))
(pin (num 39) (name PB8B) (type BiDi))
(pin (num 40) (name PB7B) (type BiDi))
(pin (num 41) (name GNDIO51) (type power_in))
(pin (num 42) (name VCCIO51) (type power_in))
(pin (num 43) (name PB13B) (type input))
(pin (num 44) (name PB13A) (type input))
(pin (num 45) (name PB15A) (type input))
(pin (num 46) (name PB14A) (type input))
(pin (num 47) (name PB15B) (type input))
(pin (num 48) (name PB14B) (type input))
(pin (num 49) (name VCCIO52) (type power_in))
(pin (num 50) (name PB16A) (type input))
(pin (num 51) (name GNDIO52) (type power_in))
(pin (num 52) (name PB16B) (type input))
(pin (num 53) (name PB17A) (type input))
(pin (num 54) (name PB17B) (type input))
(pin (num 55) (name PB18A) (type input))
(pin (num 56) (name PB19A) (type input))
(pin (num 57) (name PB18B) (type input))
(pin (num 58) (name PB19B) (type input))
(pin (num 59) (name VCC2) (type power_in))
(pin (num 60) (name VCCAUX2) (type power_in))
(pin (num 61) (name PB20A) (type BiDi))
(pin (num 62) (name PB20B) (type BiDi))
(pin (num 63) (name VCCIO4) (type power_in))
(pin (num 64) (name GNDIO4) (type power_in))
(pin (num 65) (name PB26A) (type BiDi))
(pin (num 66) (name PB26B) (type BiDi))
(pin (num 67) (name LRC_VCCPLL) (type power_in))
(pin (num 68) (name LRC_GNDPLL) (type power_in))
(pin (num 69) (name PB27A) (type BiDi))
(pin (num 70) (name PB28A) (type BiDi))
(pin (num 71) (name PB27B) (type BiDi))
(pin (num 72) (name PB28B) (type BiDi))
(pin (num 73) (name PR24A) (type BiDi))
(pin (num 74) (name PR24B) (type BiDi))
(pin (num 75) (name GNDIO3) (type power_in))
(pin (num 76) (name VCCIO3) (type power_in))
(pin (num 77) (name PR14B) (type BiDi))
(pin (num 78) (name PR14A) (type BiDi))
(pin (num 79) (name TMS) (type input))
(pin (num 80) (name TDI) (type input))
(pin (num 81) (name TCK) (type input))
(pin (num 82) (name TDO) (type output))
(pin (num 83) (name VCCJ) (type power_in))
(pin (num 84) (name VCC3) (type power_in))
(pin (num 85) (name VCCAUX3) (type power_in))
(pin (num 86) (name GND) (type power_in))
(pin (num 87) (name PR12B) (type BiDi))
(pin (num 88) (name PR12A) (type BiDi))
(pin (num 89) (name PR11B) (type BiDi))
(pin (num 90) (name PR11A) (type BiDi))
(pin (num 91) (name PR10B) (type BiDi))
(pin (num 92) (name PR9B) (type BiDi))
(pin (num 93) (name PR10A) (type BiDi))
(pin (num 94) (name PR9A) (type BiDi))
(pin (num 95) (name VCCIO21) (type power_in))
(pin (num 96) (name PR8B) (type BiDi))
(pin (num 97) (name GNDIO21) (type power_in))
(pin (num 98) (name PR8A) (type BiDi))
(pin (num 99) (name PR7B) (type BiDi))
(pin (num 100) (name PR6B) (type BiDi))
(pin (num 101) (name PR7A) (type BiDi))
(pin (num 102) (name PR6A) (type BiDi))
(pin (num 103) (name PR5B) (type BiDi))
(pin (num 104) (name PR5A) (type BiDi))
(pin (num 105) (name VCCIO22) (type power_in))
(pin (num 106) (name GNDIO22) (type power_in))
(pin (num 107) (name PR2B) (type BiDi))
(pin (num 108) (name PR2A) (type BiDi))
(pin (num 109) (name PT28B) (type BiDi))
(pin (num 110) (name PT28A) (type BiDi))
(pin (num 111) (name GNDIO1) (type power_in))
(pin (num 112) (name VCCIO1) (type power_in))
(pin (num 113) (name PT21B) (type BiDi))
(pin (num 114) (name PT20B) (type BiDi))
(pin (num 115) (name PT21A) (type BiDi))
(pin (num 116) (name PT20A) (type BiDi))
(pin (num 117) (name VCCAUX4) (type power_in))
(pin (num 118) (name VCC4) (type power_in))
(pin (num 119) (name PT19B) (type BiDi))
(pin (num 120) (name PT19A) (type BiDi))
(pin (num 121) (name PT18B) (type BiDi))
(pin (num 122) (name PT17B) (type BiDi))
(pin (num 123) (name PT18A) (type BiDi))
(pin (num 124) (name PT17A) (type BiDi))
(pin (num 125) (name PT16B) (type BiDi))
(pin (num 126) (name GNDIO01) (type power_in))
(pin (num 127) (name PT16A) (type BiDi))
(pin (num 128) (name VCCIO01) (type power_in))
(pin (num 129) (name PT15B) (type BiDi))
(pin (num 130) (name PT14B) (type BiDi))
(pin (num 131) (name PT15A) (type BiDi))
(pin (num 132) (name PT14A) (type BiDi))
(pin (num 133) (name PT13B) (type BiDi))
(pin (num 134) (name PT13A) (type BiDi))
(pin (num 135) (name GNDIO02) (type power_in))
(pin (num 136) (name VCCIO02) (type power_in))
(pin (num 137) (name PT9B) (type BiDi))
(pin (num 138) (name PT9A) (type BiDi))
(pin (num 139) (name ULC_GNDPLL) (type power_in))
(pin (num 140) (name ULC_VCCPLL) (type power_in))
(pin (num 141) (name PT7B) (type BiDi))
(pin (num 142) (name PT8B) (type BiDi))
(pin (num 143) (name PT7A) (type BiDi))
(pin (num 144) (name PT8A) (type BiDi))))
(libpart (lib regulators) (part LM2596)
(description "LM2596 SIMPLE SWITCHER ® Power Converter 150 kHz 3A Step-Down Voltage Regulator")
(docs http://www.ti.com/lit/ds/symlink/lm2596.pdf)
(footprints
(fp DDPAK)
(fp TO-263))
(fields
(field (name Reference) U)
(field (name Value) LM2596))
(pins
(pin (num 1) (name VIN) (type power_in))
(pin (num 2) (name Output) (type power_out))
(pin (num 3) (name GND) (type power_in))
(pin (num 4) (name Feedback) (type input))
(pin (num 5) (name ON) (type input))))
(libpart (lib lattice) (part Lattice-JTAG)
(fields
(field (name Reference) P)
(field (name Value) Lattice-JTAG))
(pins
(pin (num 1) (name SCK) (type output))
(pin (num 2) (name GND) (type power_out))
(pin (num 3) (name TMS) (type output))
(pin (num 4) (name GND) (type power_out))
(pin (num 5) (name TDI) (type output))
(pin (num 6) (name VCC) (type power_out))
(pin (num 7) (name TDO) (type input))
(pin (num 8) (name INIT) (type output))
(pin (num 9) (name TRST) (type input))
(pin (num 10) (name PROG) (type output))))
(libpart (lib pmic) (part MCP73833)
(description MCP73833)
(docs http://ww1.microchip.com/downloads/en/DeviceDoc/22005b.pdf)
(footprints
(fp MSOP-10)
(fp DFN-10))
(fields
(field (name Reference) U)
(field (name Value) MCP73833))
(pins
(pin (num 1) (name VDD) (type power_in))
(pin (num 2) (name VDD) (type power_in))
(pin (num 3) (name STAT1) (type openCol))
(pin (num 4) (name STAT2) (type openCol))
(pin (num 5) (name VSS) (type power_in))
(pin (num 6) (name PROG) (type input))
(pin (num 7) (name PG) (type openCol))
(pin (num 8) (name THERM) (type input))
(pin (num 9) (name VBAT) (type power_out))
(pin (num 10) (name VBAT) (type power_out))))
(libpart (lib device) (part Q_NMOS_DGS)
(description "Transistor N-MOSFET (general)")
(fields
(field (name Reference) Q)
(field (name Value) Q_NMOS_DGS))
(pins
(pin (num 1) (name D) (type passive))
(pin (num 2) (name G) (type input))
(pin (num 3) (name S) (type passive))))
(libpart (lib device) (part Q_PMOS_DGS)
(description "Transistor P-MOSFET (general)")
(fields
(field (name Reference) Q)
(field (name Value) Q_PMOS_DGS))
(pins
(pin (num 1) (name D) (type passive))
(pin (num 2) (name G) (type input))
(pin (num 3) (name S) (type passive))))
(libpart (lib device) (part R)
(description Resistor)
(footprints
(fp R_*)
(fp Resistor_*))
(fields
(field (name Reference) R)
(field (name Value) R))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib stm32f4) (part STM32F407VGT)
(fields
(field (name Reference) U)
(field (name Value) STM32F407VGT))
(pins
(pin (num 1) (name PE2) (type BiDi))
(pin (num 2) (name PE3) (type BiDi))
(pin (num 3) (name PE4) (type BiDi))
(pin (num 4) (name PE5) (type BiDi))
(pin (num 5) (name PE6) (type BiDi))
(pin (num 6) (name VBAT) (type power_in))
(pin (num 7) (name PC13) (type BiDi))
(pin (num 8) (name PC14_OSC32_IN) (type input))
(pin (num 9) (name PC15_OSC32_IN) (type input))
(pin (num 10) (name VSS) (type power_in))
(pin (num 11) (name VDD) (type power_in))
(pin (num 12) (name PH0_OSCIN) (type BiDi))
(pin (num 13) (name PH1_OSCOUT) (type BiDi))
(pin (num 14) (name NRST) (type BiDi))
(pin (num 15) (name PC0) (type BiDi))
(pin (num 16) (name PC1) (type BiDi))
(pin (num 17) (name PC2) (type BiDi))
(pin (num 18) (name PC3) (type BiDi))
(pin (num 19) (name VDD) (type power_in))
(pin (num 20) (name VSSA) (type power_in))
(pin (num 21) (name VREF+) (type power_in))
(pin (num 22) (name VDDA) (type power_in))
(pin (num 23) (name PA0_WKUP) (type BiDi))
(pin (num 24) (name PA1) (type BiDi))
(pin (num 25) (name PA2) (type BiDi))
(pin (num 26) (name PA3) (type BiDi))
(pin (num 27) (name VSS) (type power_in))
(pin (num 28) (name VDD) (type power_in))
(pin (num 29) (name PA4) (type BiDi))
(pin (num 30) (name PA5) (type BiDi))
(pin (num 31) (name PA6) (type BiDi))
(pin (num 32) (name PA7) (type BiDi))
(pin (num 33) (name PC4) (type BiDi))
(pin (num 34) (name PC5) (type BiDi))
(pin (num 35) (name PB0) (type input))
(pin (num 36) (name PB1) (type input))
(pin (num 37) (name PB2_BOOT1) (type input))
(pin (num 38) (name PE7) (type BiDi))
(pin (num 39) (name PE8) (type BiDi))
(pin (num 40) (name PE9) (type BiDi))
(pin (num 41) (name PE10) (type BiDi))
(pin (num 42) (name PE11) (type BiDi))
(pin (num 43) (name PE12) (type BiDi))
(pin (num 44) (name PE13) (type BiDi))
(pin (num 45) (name PE14) (type BiDi))
(pin (num 46) (name PE15) (type BiDi))
(pin (num 47) (name PB10) (type BiDi))
(pin (num 48) (name PB11) (type BiDi))
(pin (num 49) (name VCAP1) (type power_in))
(pin (num 50) (name VDD) (type power_in))
(pin (num 51) (name PB12) (type BiDi))
(pin (num 52) (name PB13) (type BiDi))
(pin (num 53) (name PB14) (type BiDi))
(pin (num 54) (name PB15) (type BiDi))
(pin (num 55) (name PD8) (type BiDi))
(pin (num 56) (name PD9) (type BiDi))
(pin (num 57) (name PD10) (type BiDi))
(pin (num 58) (name PD11) (type BiDi))
(pin (num 59) (name PD12) (type BiDi))
(pin (num 60) (name PD13) (type BiDi))
(pin (num 61) (name PD14) (type BiDi))
(pin (num 62) (name PD15) (type BiDi))
(pin (num 63) (name PC6) (type BiDi))
(pin (num 64) (name PC7) (type BiDi))
(pin (num 65) (name PC8) (type BiDi))
(pin (num 66) (name PC9) (type BiDi))
(pin (num 67) (name PA8) (type BiDi))
(pin (num 68) (name PA9) (type BiDi))
(pin (num 69) (name PA10) (type BiDi))
(pin (num 70) (name PA11) (type BiDi))
(pin (num 71) (name PA12) (type BiDi))
(pin (num 72) (name PA13_JTMS_SWDIO) (type BiDi))
(pin (num 73) (name VCAP2) (type power_in))
(pin (num 74) (name VSS) (type power_in))
(pin (num 75) (name VDD) (type power_in))
(pin (num 76) (name PA14_JTCK_SWCLK) (type BiDi))
(pin (num 77) (name PA15_JTDI) (type BiDi))
(pin (num 78) (name PC10) (type BiDi))
(pin (num 79) (name PC11) (type BiDi))
(pin (num 80) (name PC12) (type BiDi))
(pin (num 81) (name PD0) (type BiDi))
(pin (num 82) (name PD1) (type BiDi))
(pin (num 83) (name PD2) (type BiDi))
(pin (num 84) (name PD3) (type BiDi))
(pin (num 85) (name PD4) (type BiDi))
(pin (num 86) (name PD5) (type BiDi))
(pin (num 87) (name PD6) (type BiDi))
(pin (num 88) (name PD7) (type BiDi))
(pin (num 89) (name PB3_JTDO_TRCSWO) (type BiDi))
(pin (num 90) (name PB4_NJTRST) (type BiDi))
(pin (num 91) (name PB5) (type BiDi))
(pin (num 92) (name PB6) (type BiDi))
(pin (num 93) (name PB7) (type BiDi))
(pin (num 94) (name BOOT0) (type input))
(pin (num 95) (name PB8) (type BiDi))
(pin (num 96) (name PB9) (type BiDi))
(pin (num 97) (name PE0) (type input))
(pin (num 98) (name PE1) (type input))
(pin (num 99) (name VSS) (type power_in))
(pin (num 100) (name VDD) (type power_in))))
(libpart (lib Oscillators) (part TCXO_DFAS11)
(fields
(field (name Reference) X)
(field (name Value) TCXO_DFAS11))
(pins
(pin (num 1) (name VContr) (type passive))
(pin (num 2) (name GND) (type passive))
(pin (num 3) (name FREQ) (type output))
(pin (num 4) (name VCC) (type power_in))))
(libpart (lib conn) (part USB_OTG)
(description "micro/mini connector")
(footprints
(fp USB*))
(fields
(field (name Reference) P)
(field (name Value) USB_OTG))
(pins
(pin (num 1) (name VCC) (type power_out))
(pin (num 2) (name D-) (type passive))
(pin (num 3) (name D+) (type passive))
(pin (num 4) (name ID) (type power_in))
(pin (num 5) (name GND) (type power_in))
(pin (num 6) (name shield) (type passive))))
(libpart (lib device) (part ZENERsmall)
(footprints
(fp D?)
(fp SO*)
(fp SM*))
(fields
(field (name Reference) D)
(field (name Value) ZENERsmall))
(pins
(pin (num 1) (name K) (type passive))
(pin (num 2) (name A) (type passive)))))
(libraries
(library (logical fpgna-rescue)
(uri /home/markus/projects/kicad/fpgna/fpgna-rescue.lib))
(library (logical conn)
(uri /usr/share/kicad/library/conn.lib))
(library (logical device)
(uri /usr/share/kicad/library/device.lib))
(library (logical regul)
(uri /usr/share/kicad/library/regul.lib))
(library (logical Oscillators)
(uri /usr/share/kicad/library/Oscillators.lib))
(library (logical lattice)
(uri /home/markus/projects/kicad/ShimattaPCBLibs/schematics/lattice/lattice.lib))
(library (logical pmic)
(uri /home/markus/projects/kicad/ShimattaPCBLibs/schematics/power/pmic.lib))
(library (logical regulators)
(uri /home/markus/projects/kicad/ShimattaPCBLibs/schematics/power/regulators.lib))
(library (logical stm32f4)
(uri /home/markus/projects/kicad/ShimattaPCBLibs/schematics/stm/stm32f4.lib))
(library (logical itead-displays)
(uri /home/markus/projects/kicad/ShimattaPCBLibs/schematics/shields/itead-displays.lib)))
(nets
(net (code 1) (name /powersupply/USB_PRESENT_N)
(node (ref R7) (pin 2))
(node (ref U5) (pin 7)))
(net (code 2) (name /powersupply/CHG_ACTIVE_N)
(node (ref U5) (pin 3))
(node (ref R4) (pin 2)))
(net (code 3) (name /FSMC/JRST_N)
(node (ref U4) (pin 90)))
(net (code 4) (name /FSMC/JTDO)
(node (ref U4) (pin 89)))
(net (code 5) (name /FSMC/BOOT1)
(node (ref U4) (pin 37)))
(net (code 7) (name /debug/JRST_N)
(node (ref P4) (pin 5)))
(net (code 8) (name /debug/JTCK)
(node (ref P4) (pin 1)))
(net (code 9) (name /debug/JTMS)
(node (ref P4) (pin 2)))
(net (code 10) (name /debug/JTDI)
(node (ref P4) (pin 3)))
(net (code 11) (name /powersupply/FPGA_ENABLE_N)
(node (ref R1) (pin 2))
(node (ref Q1) (pin 2)))
(net (code 12) (name /powersupply/CLK_50M)
(node (ref X1) (pin 3)))
(net (code 13) (name /powersupply/SYS_VOLTAGE)
(node (ref D2) (pin 1))
(node (ref R6) (pin 1))
(node (ref R5) (pin 2)))
(net (code 14) (name /powersupply/CHARGE_ENABLE)
(node (ref R12) (pin 1)))
(net (code 15) (name /debug/JTDO)
(node (ref P4) (pin 4)))
(net (code 16) (name "Net-(Q4-Pad2)")
(node (ref Q4) (pin 2))
(node (ref R11) (pin 2))
(node (ref R12) (pin 2)))
(net (code 17) (name "Net-(P2-Pad1)")
(node (ref Q2) (pin 1))
(node (ref P2) (pin 1))
(node (ref U5) (pin 8)))
(net (code 18) (name "Net-(Q2-Pad2)")
(node (ref R9) (pin 2))
(node (ref Q2) (pin 2))
(node (ref Q4) (pin 1)))
(net (code 19) (name "Net-(C4-Pad1)")
(node (ref U1) (pin 2))
(node (ref C5) (pin 1))
(node (ref L2) (pin 1))
(node (ref C4) (pin 1)))
(net (code 20) (name "Net-(D1-Pad1)")
(node (ref U2) (pin 2))
(node (ref D1) (pin 1))
(node (ref L1) (pin 1)))
(net (code 21) (name VSYS)
(node (ref Q3) (pin 3))
(node (ref C1) (pin 1))
(node (ref R5) (pin 1))
(node (ref D3) (pin 1))
(node (ref C3) (pin 1))
(node (ref U2) (pin 1)))
(net (code 22) (name +1V2)
(node (ref L2) (pin 2))
(node (ref U3) (pin 24))
(node (ref U3) (pin 84))
(node (ref U3) (pin 59))
(node (ref C21) (pin 1))
(node (ref C19) (pin 1))
(node (ref C17) (pin 1))
(node (ref C9) (pin 1))
(node (ref C11) (pin 1))
(node (ref U3) (pin 118))
(node (ref C15) (pin 1))
(node (ref C13) (pin 1)))
(net (code 23) (name VBAT)
(node (ref U5) (pin 10))
(node (ref U5) (pin 9))
(node (ref P2) (pin 2))
(node (ref Q3) (pin 1)))
(net (code 24) (name "Net-(R8-Pad1)")
(node (ref R8) (pin 1))
(node (ref U5) (pin 6)))
(net (code 25) (name "Net-(C7-Pad2)")
(node (ref C7) (pin 2))
(node (ref L3) (pin 2))
(node (ref P1) (pin 1)))
(net (code 26) (name VUSB)
(node (ref U5) (pin 1))
(node (ref U5) (pin 2))
(node (ref D3) (pin 2))
(node (ref R10) (pin 1))
(node (ref R9) (pin 1))
(node (ref Q3) (pin 2))
(node (ref L3) (pin 1))
(node (ref C7) (pin 1)))
(net (code 27) (name VFPGA33)
(node (ref C2) (pin 1))
(node (ref U1) (pin 3))
(node (ref R2) (pin 1))
(node (ref R3) (pin 1))
(node (ref U3) (pin 128))
(node (ref U3) (pin 14))
(node (ref U3) (pin 49))
(node (ref U3) (pin 63))
(node (ref U3) (pin 33))
(node (ref U3) (pin 4))
(node (ref C29) (pin 1))
(node (ref X1) (pin 4))
(node (ref C27) (pin 1))
(node (ref C28) (pin 1))
(node (ref U3) (pin 42))
(node (ref C55) (pin 1))
(node (ref Q1) (pin 1))
(node (ref C52) (pin 1))
(node (ref C20) (pin 1))
(node (ref C18) (pin 1))
(node (ref C16) (pin 1))
(node (ref C14) (pin 1))
(node (ref C12) (pin 1))
(node (ref C10) (pin 1))
(node (ref U3) (pin 117))
(node (ref U3) (pin 140))
(node (ref C22) (pin 1))
(node (ref U3) (pin 67))
(node (ref C50) (pin 1))
(node (ref U3) (pin 85))
(node (ref U3) (pin 23))
(node (ref U3) (pin 60))
(node (ref C23) (pin 1))
(node (ref C8) (pin 1))
(node (ref U3) (pin 112))
(node (ref C51) (pin 1))
(node (ref C53) (pin 1))
(node (ref U3) (pin 136))
(node (ref C54) (pin 1))
(node (ref C49) (pin 1))
(node (ref C48) (pin 1))
(node (ref U3) (pin 95))
(node (ref U3) (pin 76))
(node (ref C47) (pin 1))
(node (ref C43) (pin 1))
(node (ref U7) (pin 1))
(node (ref C42) (pin 1))
(node (ref C45) (pin 1))
(node (ref C46) (pin 1))
(node (ref U3) (pin 105))
(node (ref C44) (pin 1))
(node (ref U7) (pin 54))
(node (ref U6) (pin 3))
(node (ref U7) (pin 14))
(node (ref C30) (pin 1))
(node (ref U7) (pin 41))
(node (ref R13) (pin 2))
(node (ref Q5) (pin 3))
(node (ref U7) (pin 28))
(node (ref U7) (pin 27)))
(net (code 28) (name GNDD)
(node (ref U7) (pin 52))
(node (ref D2) (pin 2))
(node (ref C48) (pin 2))
(node (ref U7) (pin 9))
(node (ref C49) (pin 2))
(node (ref U7) (pin 12))
(node (ref C46) (pin 2))
(node (ref U7) (pin 43))
(node (ref C47) (pin 2))
(node (ref C24) (pin 2))
(node (ref C4) (pin 2))
(node (ref C33) (pin 2))
(node (ref C34) (pin 2))
(node (ref U2) (pin 3))
(node (ref U7) (pin 46))
(node (ref U2) (pin 5))
(node (ref P3) (pin 2))
(node (ref P3) (pin 4))
(node (ref U7) (pin 49))
(node (ref Q4) (pin 3))
(node (ref Q2) (pin 3))
(node (ref U7) (pin 3))
(node (ref D1) (pin 2))
(node (ref U7) (pin 6))
(node (ref C42) (pin 2))
(node (ref C6) (pin 2))
(node (ref C1) (pin 2))
(node (ref C36) (pin 2))
(node (ref R6) (pin 2))
(node (ref R10) (pin 2))
(node (ref X1) (pin 2))
(node (ref R8) (pin 2))
(node (ref R15) (pin 2))
(node (ref U3) (pin 68))
(node (ref C5) (pin 2))
(node (ref C2) (pin 2))
(node (ref U1) (pin 1))
(node (ref C3) (pin 2))
(node (ref U6) (pin 1))
(node (ref P1) (pin 5))
(node (ref C30) (pin 2))
(node (ref P2) (pin 3))
(node (ref U5) (pin 5))
(node (ref U3) (pin 86))
(node (ref R11) (pin 1))
(node (ref C21) (pin 2))
(node (ref C35) (pin 2))
(node (ref C37) (pin 2))
(node (ref C19) (pin 2))
(node (ref C15) (pin 2))
(node (ref C31) (pin 2))
(node (ref C32) (pin 2))
(node (ref C39) (pin 2))
(node (ref C38) (pin 2))
(node (ref C18) (pin 2))
(node (ref C8) (pin 2))
(node (ref C16) (pin 2))
(node (ref C14) (pin 2))
(node (ref C12) (pin 2))
(node (ref C10) (pin 2))
(node (ref U3) (pin 139))
(node (ref C13) (pin 2))
(node (ref C11) (pin 2))
(node (ref C9) (pin 2))
(node (ref C17) (pin 2))
(node (ref C23) (pin 2))
(node (ref C22) (pin 2))
(node (ref C20) (pin 2)))
(net (code 29) (name "Net-(P1-Pad6)")
(node (ref R15) (pin 1))
(node (ref P1) (pin 6)))
(net (code 30) (name /powersupply/VCAP2)
(node (ref C26) (pin 1))
(node (ref U4) (pin 73)))
(net (code 31) (name /powersupply/VCAP1)
(node (ref U4) (pin 49))
(node (ref C25) (pin 1)))
(net (code 32) (name GND)
(node (ref U3) (pin 41))
(node (ref C55) (pin 2))
(node (ref C43) (pin 2))
(node (ref U3) (pin 51))
(node (ref C28) (pin 2))
(node (ref C27) (pin 2))
(node (ref C29) (pin 2))
(node (ref C44) (pin 2))
(node (ref C45) (pin 2))
(node (ref C50) (pin 2))
(node (ref C51) (pin 2))
(node (ref U3) (pin 75))
(node (ref U3) (pin 97))
(node (ref U3) (pin 106))
(node (ref U3) (pin 135))
(node (ref C52) (pin 2))
(node (ref C54) (pin 2))
(node (ref C53) (pin 2))
(node (ref U3) (pin 126))
(node (ref U3) (pin 111))
(node (ref P4) (pin 6))
(node (ref U4) (pin 74))
(node (ref U4) (pin 20))
(node (ref U4) (pin 99))
(node (ref U4) (pin 10))
(node (ref U4) (pin 27))
(node (ref C25) (pin 2))
(node (ref C26) (pin 2))
(node (ref C40) (pin 2))
(node (ref C41) (pin 2))
(node (ref U3) (pin 3))
(node (ref U3) (pin 34))
(node (ref U3) (pin 64))
(node (ref U3) (pin 12)))
(net (code 33) (name "Net-(Q5-Pad1)")
(node (ref Q5) (pin 1))
(node (ref R14) (pin 2)))
(net (code 34) (name /powersupply/BACKLIGHT_EN_N)
(node (ref Q5) (pin 2))
(node (ref R13) (pin 1)))
(net (code 35) (name "Net-(R14-Pad1)")
(node (ref U6) (pin 37))
(node (ref R14) (pin 1)))
(net (code 36) (name "Net-(U5-Pad4)")
(node (ref U5) (pin 4)))
(net (code 37) (name "Net-(P1-Pad2)")
(node (ref P1) (pin 2)))
(net (code 38) (name "Net-(P1-Pad3)")
(node (ref P1) (pin 3)))
(net (code 39) (name "Net-(P1-Pad4)")
(node (ref P1) (pin 4)))
(net (code 40) (name "Net-(R2-Pad2)")
(node (ref U3) (pin 25))
(node (ref R2) (pin 2)))
(net (code 41) (name "Net-(R3-Pad2)")
(node (ref R3) (pin 2))
(node (ref U3) (pin 26)))
(net (code 42) (name "Net-(X1-Pad1)")
(node (ref X1) (pin 1)))
(net (code 43) (name VSTM33)
(node (ref C32) (pin 1))
(node (ref C31) (pin 1))
(node (ref C35) (pin 1))
(node (ref C33) (pin 1))
(node (ref C34) (pin 1))
(node (ref L1) (pin 2))
(node (ref C6) (pin 1))
(node (ref U2) (pin 4))
(node (ref U4) (pin 50))
(node (ref U4) (pin 11))
(node (ref U4) (pin 21))
(node (ref R7) (pin 1))
(node (ref C38) (pin 1))
(node (ref C39) (pin 1))
(node (ref C37) (pin 1))
(node (ref C36) (pin 1))
(node (ref R4) (pin 1))
(node (ref U3) (pin 83))
(node (ref U4) (pin 6))
(node (ref Q1) (pin 3))
(node (ref R1) (pin 1))
(node (ref U4) (pin 75))
(node (ref P3) (pin 6))
(node (ref C24) (pin 1))
(node (ref U4) (pin 100))
(node (ref U4) (pin 19))
(node (ref U4) (pin 28))
(node (ref U4) (pin 22)))
(net (code 44) (name "Net-(C41-Pad1)")
(node (ref C41) (pin 1))
(node (ref Y1) (pin 2))
(node (ref U4) (pin 13)))
(net (code 45) (name "Net-(C40-Pad1)")
(node (ref Y1) (pin 1))
(node (ref C40) (pin 1))
(node (ref U4) (pin 12)))
(net (code 46) (name "Net-(P3-Pad9)")
(node (ref P3) (pin 9)))
(net (code 47) (name "Net-(P3-Pad7)")
(node (ref U3) (pin 82))
(node (ref P3) (pin 7)))
(net (code 48) (name "Net-(P3-Pad5)")
(node (ref P3) (pin 5))
(node (ref U3) (pin 80)))
(net (code 49) (name "Net-(P3-Pad3)")
(node (ref U3) (pin 79))
(node (ref P3) (pin 3)))
(net (code 50) (name "Net-(P3-Pad1)")
(node (ref P3) (pin 1))
(node (ref U3) (pin 81)))
(net (code 51) (name "Net-(P3-Pad10)")
(node (ref P3) (pin 10)))
(net (code 52) (name "Net-(P3-Pad8)")
(node (ref P3) (pin 8)))
(net (code 53) (name "Net-(U4-Pad94)")
(node (ref U4) (pin 94)))
(net (code 54) (name "Net-(U4-Pad14)")
(node (ref U4) (pin 14)))
(net (code 55) (name /FSMC/WAIT_N)
(node (ref U4) (pin 87)))
(net (code 56) (name /FSMC/WE_N)
(node (ref U4) (pin 86)))
(net (code 57) (name /FSMC/OE_N)
(node (ref U4) (pin 85)))
(net (code 58) (name "Net-(U4-Pad83)")
(node (ref U4) (pin 83)))
(net (code 59) (name /FSMC/E1_N)
(node (ref U4) (pin 88)))
(net (code 60) (name /FSMC/ADV_N)
(node (ref U4) (pin 93)))
(net (code 61) (name /FSMC/A18)
(node (ref U4) (pin 60))
(node (ref U3) (pin 109)))
(net (code 62) (name /FSMC/DA4)
(node (ref U3) (pin 138))
(node (ref U4) (pin 38)))
(net (code 63) (name /FSMC/DA5)
(node (ref U4) (pin 39))
(node (ref U3) (pin 137)))
(net (code 64) (name /FSMC/A19)
(node (ref U4) (pin 2)))
(net (code 65) (name /FSMC/A22)
(node (ref U4) (pin 5)))
(net (code 66) (name /FSMC/A21)
(node (ref U4) (pin 4)))
(net (code 67) (name /FSMC/A20)
(node (ref U4) (pin 3)))
(net (code 68) (name /FSMC/A23)
(node (ref U4) (pin 1)))
(net (code 69) (name /FSMC/BL1_N)
(node (ref U4) (pin 98)))
(net (code 70) (name /FSMC/BL0_N)
(node (ref U4) (pin 97)))
(net (code 71) (name /FSMC/A16)
(node (ref U4) (pin 58))
(node (ref U3) (pin 113)))
(net (code 72) (name /FSMC/A17)
(node (ref U3) (pin 110))
(node (ref U4) (pin 59)))
(net (code 73) (name /FSMC/CLK)
(node (ref U3) (pin 116))
(node (ref U4) (pin 84)))
(net (code 74) (name "Net-(U3-Pad115)")
(node (ref U3) (pin 115)))
(net (code 75) (name "Net-(U3-Pad114)")
(node (ref U3) (pin 114)))
(net (code 76) (name /FSMC/DA15)
(node (ref U3) (pin 119))
(node (ref U4) (pin 57)))
(net (code 77) (name "Net-(U3-Pad144)")
(node (ref U3) (pin 144)))
(net (code 78) (name "Net-(U3-Pad143)")
(node (ref U3) (pin 143)))
(net (code 79) (name "Net-(U3-Pad142)")
(node (ref U3) (pin 142)))
(net (code 80) (name "Net-(U3-Pad141)")
(node (ref U3) (pin 141)))
(net (code 81) (name /FSMC/DA6)
(node (ref U3) (pin 134))
(node (ref U4) (pin 40)))
(net (code 82) (name /FSMC/DA7)
(node (ref U4) (pin 41))
(node (ref U3) (pin 133)))
(net (code 83) (name /FSMC/DA12)
(node (ref U3) (pin 127))
(node (ref U4) (pin 46)))
(net (code 84) (name /FSMC/DA11)
(node (ref U3) (pin 129))
(node (ref U4) (pin 45)))
(net (code 85) (name /FSMC/DA10)
(node (ref U3) (pin 130))
(node (ref U4) (pin 44)))
(net (code 86) (name /FSMC/DA9)
(node (ref U3) (pin 131))
(node (ref U4) (pin 43)))
(net (code 87) (name /FSMC/DA8)
(node (ref U3) (pin 132))
(node (ref U4) (pin 42)))
(net (code 88) (name /FSMC/DA3)
(node (ref U4) (pin 82))
(node (ref U3) (pin 125)))
(net (code 89) (name /FSMC/DA2)
(node (ref U3) (pin 124))
(node (ref U4) (pin 81)))
(net (code 90) (name /FSMC/DA1)
(node (ref U3) (pin 123))
(node (ref U4) (pin 62)))
(net (code 91) (name /FSMC/DA0)
(node (ref U3) (pin 122))
(node (ref U4) (pin 61)))
(net (code 92) (name /FSMC/DA14)
(node (ref U3) (pin 120))
(node (ref U4) (pin 56)))
(net (code 93) (name /FSMC/DA13)
(node (ref U4) (pin 55))
(node (ref U3) (pin 121)))
(net (code 94) (name "Net-(U4-Pad53)")
(node (ref U4) (pin 53)))
(net (code 95) (name "Net-(U4-Pad92)")
(node (ref U4) (pin 92)))
(net (code 96) (name "Net-(U4-Pad52)")
(node (ref U4) (pin 52)))
(net (code 97) (name "Net-(U4-Pad91)")
(node (ref U4) (pin 91)))
(net (code 98) (name "Net-(U4-Pad51)")
(node (ref U4) (pin 51)))
(net (code 99) (name "Net-(U4-Pad78)")
(node (ref U4) (pin 78)))
(net (code 100) (name "Net-(U4-Pad79)")
(node (ref U4) (pin 79)))
(net (code 101) (name "Net-(U4-Pad18)")
(node (ref U4) (pin 18)))
(net (code 102) (name "Net-(U4-Pad17)")
(node (ref U4) (pin 17)))
(net (code 103) (name "Net-(U4-Pad66)")
(node (ref U4) (pin 66)))
(net (code 104) (name "Net-(U4-Pad16)")
(node (ref U4) (pin 16)))
(net (code 105) (name "Net-(U4-Pad65)")
(node (ref U4) (pin 65)))
(net (code 106) (name "Net-(U4-Pad15)")
(node (ref U4) (pin 15)))
(net (code 107) (name "Net-(U4-Pad64)")
(node (ref U4) (pin 64)))
(net (code 108) (name "Net-(U4-Pad34)")
(node (ref U4) (pin 34)))
(net (code 109) (name "Net-(U4-Pad54)")
(node (ref U4) (pin 54)))
(net (code 110) (name "Net-(U4-Pad71)")
(node (ref U4) (pin 71)))
(net (code 111) (name "Net-(U4-Pad31)")
(node (ref U4) (pin 31)))
(net (code 112) (name "Net-(U4-Pad70)")
(node (ref U4) (pin 70)))
(net (code 113) (name "Net-(U4-Pad30)")
(node (ref U4) (pin 30)))
(net (code 114) (name "Net-(U4-Pad33)")
(node (ref U4) (pin 33)))
(net (code 115) (name "Net-(U4-Pad48)")
(node (ref U4) (pin 48)))
(net (code 116) (name "Net-(U4-Pad47)")
(node (ref U4) (pin 47)))
(net (code 117) (name "Net-(U4-Pad96)")
(node (ref U4) (pin 96)))
(net (code 118) (name "Net-(U4-Pad36)")
(node (ref U4) (pin 36)))
(net (code 119) (name "Net-(U4-Pad95)")
(node (ref U4) (pin 95)))
(net (code 120) (name "Net-(U4-Pad35)")
(node (ref U4) (pin 35)))
(net (code 121) (name "Net-(U4-Pad63)")
(node (ref U4) (pin 63)))
(net (code 122) (name "Net-(U4-Pad72)")
(node (ref U4) (pin 72)))
(net (code 123) (name "Net-(U4-Pad32)")
(node (ref U4) (pin 32)))
(net (code 124) (name "Net-(U4-Pad80)")
(node (ref U4) (pin 80)))
(net (code 125) (name "Net-(U4-Pad9)")
(node (ref U4) (pin 9)))
(net (code 126) (name "Net-(U4-Pad8)")
(node (ref U4) (pin 8)))
(net (code 127) (name "Net-(U4-Pad7)")
(node (ref U4) (pin 7)))
(net (code 128) (name "Net-(U4-Pad69)")
(node (ref U4) (pin 69)))
(net (code 129) (name "Net-(U4-Pad29)")
(node (ref U4) (pin 29)))
(net (code 130) (name "Net-(U4-Pad68)")
(node (ref U4) (pin 68)))
(net (code 131) (name "Net-(U4-Pad67)")
(node (ref U4) (pin 67)))
(net (code 132) (name "Net-(U4-Pad76)")
(node (ref U4) (pin 76)))
(net (code 133) (name "Net-(U4-Pad26)")
(node (ref U4) (pin 26)))
(net (code 134) (name "Net-(U4-Pad25)")
(node (ref U4) (pin 25)))
(net (code 135) (name "Net-(U4-Pad24)")
(node (ref U4) (pin 24)))
(net (code 136) (name "Net-(U4-Pad23)")
(node (ref U4) (pin 23)))
(net (code 137) (name "Net-(U4-Pad77)")
(node (ref U4) (pin 77)))
(net (code 138) (name "Net-(U6-Pad38)")
(node (ref U6) (pin 38)))
(net (code 139) (name "Net-(U6-Pad35)")
(node (ref U6) (pin 35)))
(net (code 140) (name "Net-(U6-Pad31)")
(node (ref U6) (pin 31)))
(net (code 141) (name "Net-(U6-Pad5)")
(node (ref U6) (pin 5)))
(net (code 142) (name /display/T_IRQ)
(node (ref U6) (pin 28)))
(net (code 143) (name /display/T_BUSY)
(node (ref U6) (pin 24)))
(net (code 144) (name /display/T_DOUT)
(node (ref U6) (pin 26)))
(net (code 145) (name /display/T_DIN)
(node (ref U6) (pin 22)))
(net (code 146) (name /display/T_CS)
(node (ref U6) (pin 20)))
(net (code 147) (name /display/T_CLK)
(node (ref U6) (pin 18)))
(net (code 148) (name "Net-(U6-Pad39)")
(node (ref U6) (pin 39)))
(net (code 149) (name "Net-(U6-Pad40)")
(node (ref U6) (pin 40)))
(net (code 150) (name /display/DB12)
(node (ref U6) (pin 21))
(node (ref U3) (pin 101)))
(net (code 151) (name /display/DB13)
(node (ref U6) (pin 23))
(node (ref U3) (pin 102)))
(net (code 152) (name /display/DB14)
(node (ref U3) (pin 103))
(node (ref U6) (pin 25)))
(net (code 153) (name /display/DB15)
(node (ref U3) (pin 104))
(node (ref U6) (pin 27)))
(net (code 154) (name /display/CS)
(node (ref U3) (pin 107))
(node (ref U6) (pin 29)))
(net (code 155) (name /display/RST)
(node (ref U3) (pin 108))
(node (ref U6) (pin 33)))
(net (code 156) (name /display/DB11)
(node (ref U6) (pin 19))
(node (ref U3) (pin 100)))
(net (code 157) (name /display/DB10)
(node (ref U6) (pin 17))
(node (ref U3) (pin 99)))
(net (code 158) (name /display/DB7)
(node (ref U3) (pin 98))
(node (ref U6) (pin 16)))
(net (code 159) (name /display/DB9)
(node (ref U6) (pin 15))
(node (ref U3) (pin 96)))
(net (code 160) (name /display/DB6)
(node (ref U6) (pin 14))
(node (ref U3) (pin 94)))
(net (code 161) (name /display/WR)
(node (ref U6) (pin 9))
(node (ref U3) (pin 89)))
(net (code 162) (name /display/DB4)
(node (ref U3) (pin 90))
(node (ref U6) (pin 10)))
(net (code 163) (name /display/RD)
(node (ref U3) (pin 91))
(node (ref U6) (pin 11)))
(net (code 164) (name /display/DB5)
(node (ref U6) (pin 12))
(node (ref U3) (pin 92)))
(net (code 165) (name /display/DB8)
(node (ref U6) (pin 13))
(node (ref U3) (pin 93)))
(net (code 166) (name /display/SD_SCK)
(node (ref U6) (pin 32)))
(net (code 167) (name /display/SD_SO)
(node (ref U6) (pin 30)))
(net (code 168) (name /display/SD_SI)
(node (ref U6) (pin 34)))
(net (code 169) (name /display/SD_NSS)
(node (ref U6) (pin 36)))
(net (code 170) (name /display/DB3)
(node (ref U6) (pin 8))
(node (ref U3) (pin 88)))
(net (code 171) (name /display/RS)
(node (ref U3) (pin 87))
(node (ref U6) (pin 7)))
(net (code 172) (name "Net-(U3-Pad73)")
(node (ref U3) (pin 73)))
(net (code 173) (name /display/DB0)
(node (ref U3) (pin 74))
(node (ref U6) (pin 2)))
(net (code 174) (name /display/DB1)
(node (ref U6) (pin 4))
(node (ref U3) (pin 77)))
(net (code 175) (name /display/DB2)
(node (ref U6) (pin 6))
(node (ref U3) (pin 78)))
(net (code 176) (name /sdram/DQMH)
(node (ref U3) (pin 47))
(node (ref U7) (pin 39)))
(net (code 177) (name /sdram/DQML)
(node (ref U3) (pin 48))
(node (ref U7) (pin 15)))
(net (code 178) (name /sdram/DQ7)
(node (ref U7) (pin 13))
(node (ref U3) (pin 50)))
(net (code 179) (name /sdram/DQ8)
(node (ref U7) (pin 42))
(node (ref U3) (pin 52)))
(net (code 180) (name "Net-(U3-Pad7)")
(node (ref U3) (pin 7)))
(net (code 181) (name /sdram/A5)
(node (ref U7) (pin 30))
(node (ref U3) (pin 20)))
(net (code 182) (name /sdram/A4)
(node (ref U3) (pin 19))
(node (ref U7) (pin 29)))
(net (code 183) (name "Net-(U3-Pad18)")
(node (ref U3) (pin 18)))
(net (code 184) (name "Net-(U3-Pad17)")
(node (ref U3) (pin 17)))
(net (code 185) (name "Net-(U3-Pad16)")
(node (ref U3) (pin 16)))
(net (code 186) (name "Net-(U3-Pad15)")
(node (ref U3) (pin 15)))
(net (code 187) (name "Net-(U3-Pad13)")
(node (ref U3) (pin 13)))
(net (code 188) (name "Net-(U3-Pad11)")
(node (ref U3) (pin 11)))
(net (code 189) (name "Net-(U3-Pad10)")
(node (ref U3) (pin 10)))
(net (code 190) (name "Net-(U3-Pad9)")
(node (ref U3) (pin 9)))
(net (code 191) (name "Net-(U3-Pad8)")
(node (ref U3) (pin 8)))
(net (code 192) (name /sdram/A6)
(node (ref U7) (pin 31))
(node (ref U3) (pin 21)))
(net (code 193) (name "Net-(U3-Pad6)")
(node (ref U3) (pin 6)))
(net (code 194) (name "Net-(U3-Pad5)")
(node (ref U3) (pin 5)))
(net (code 195) (name "Net-(U3-Pad2)")
(node (ref U3) (pin 2)))
(net (code 196) (name "Net-(U3-Pad1)")
(node (ref U3) (pin 1)))
(net (code 197) (name /sdram/DQ0)
(node (ref U7) (pin 2))
(node (ref U3) (pin 71)))
(net (code 198) (name /sdram/DQ9)
(node (ref U7) (pin 44))
(node (ref U3) (pin 54)))
(net (code 199) (name /sdram/DQ5)
(node (ref U7) (pin 10))
(node (ref U3) (pin 55)))
(net (code 200) (name /sdram/DQ10)
(node (ref U3) (pin 56))
(node (ref U7) (pin 45)))
(net (code 201) (name /sdram/DQ4)
(node (ref U7) (pin 8))
(node (ref U3) (pin 57)))
(net (code 202) (name /sdram/DQ11)
(node (ref U3) (pin 58))
(node (ref U7) (pin 47)))
(net (code 203) (name /sdram/DQ3)
(node (ref U7) (pin 7))
(node (ref U3) (pin 61)))
(net (code 204) (name /sdram/DQ12)
(node (ref U3) (pin 62))
(node (ref U7) (pin 48)))
(net (code 205) (name /sdram/DQ2)
(node (ref U3) (pin 65))
(node (ref U7) (pin 5)))
(net (code 206) (name /sdram/DQ13)
(node (ref U7) (pin 50))
(node (ref U3) (pin 66)))
(net (code 207) (name /sdram/DQ1)
(node (ref U7) (pin 4))
(node (ref U3) (pin 69)))
(net (code 208) (name /sdram/DQ6)
(node (ref U7) (pin 11))
(node (ref U3) (pin 53)))
(net (code 209) (name /sdram/DQ15)
(node (ref U3) (pin 72))
(node (ref U7) (pin 53)))
(net (code 210) (name /sdram/A0)
(node (ref U7) (pin 23))
(node (ref U3) (pin 36)))
(net (code 211) (name /sdram/A10)
(node (ref U7) (pin 22))
(node (ref U3) (pin 35)))
(net (code 212) (name /sdram/A1)
(node (ref U3) (pin 32))
(node (ref U7) (pin 24)))
(net (code 213) (name /sdram/A2)
(node (ref U3) (pin 31))
(node (ref U7) (pin 25)))
(net (code 214) (name /sdram/A3)
(node (ref U7) (pin 26))
(node (ref U3) (pin 30)))
(net (code 215) (name /sdram/A11)
(node (ref U7) (pin 35))
(node (ref U3) (pin 29)))
(net (code 216) (name /sdram/A9)
(node (ref U3) (pin 28))
(node (ref U7) (pin 34)))
(net (code 217) (name /sdram/A8)
(node (ref U3) (pin 27))
(node (ref U7) (pin 33)))
(net (code 218) (name /sdram/A7)
(node (ref U7) (pin 32))
(node (ref U3) (pin 22)))
(net (code 219) (name /sdram/RAS)
(node (ref U7) (pin 18))
(node (ref U3) (pin 40)))
(net (code 220) (name /sdram/CKE)
(node (ref U7) (pin 37))
(node (ref U3) (pin 43)))
(net (code 221) (name /sdram/CAS)
(node (ref U7) (pin 17))
(node (ref U3) (pin 44)))
(net (code 222) (name /sdram/CLK)
(node (ref U3) (pin 45))
(node (ref U7) (pin 38)))
(net (code 223) (name /sdram/WE)
(node (ref U3) (pin 46))
(node (ref U7) (pin 16)))
(net (code 224) (name /sdram/CS)
(node (ref U3) (pin 39))
(node (ref U7) (pin 19)))
(net (code 225) (name /sdram/DQ14)
(node (ref U7) (pin 51))
(node (ref U3) (pin 70)))
(net (code 226) (name /sdram/BA1)
(node (ref U7) (pin 21))
(node (ref U3) (pin 37)))
(net (code 227) (name /sdram/BA0)
(node (ref U3) (pin 38))
(node (ref U7) (pin 20)))
(net (code 228) (name "Net-(U7-Pad40)")
(node (ref U7) (pin 40)))
(net (code 229) (name "Net-(U7-Pad36)")
(node (ref U7) (pin 36)))))