From 446b6102ac92e28b8d6dbfa4d6a1898a159326c8 Mon Sep 17 00:00:00 2001 From: Markus Koch Date: Sun, 10 May 2020 20:47:47 +0200 Subject: [PATCH] display: Add new splashscreen --- display/fpga/ip/gram0/gram0.cst | 3 - display/fpga/ip/gram0/gram0.edn | 2420 ---------------------- display/fpga/ip/gram0/gram0.ipx | 12 +- display/fpga/ip/gram0/gram0.lpc | 10 +- display/fpga/ip/gram0/gram0.srp | 6 +- display/fpga/ip/gram0/gram0.vhd | 314 +-- display/fpga/ip/gram0/gram0_generate.log | 6 +- display/fpga/ip/gram0/gram0_tmpl.vhd | 2 +- display/fpga/ip/gram0/msg_file.log | 6 +- display/tools/.gitignore | 1 - display/tools/splashscreen.png | Bin 0 -> 9271 bytes 11 files changed, 178 insertions(+), 2602 deletions(-) delete mode 100644 display/fpga/ip/gram0/gram0.cst delete mode 100644 display/fpga/ip/gram0/gram0.edn create mode 100644 display/tools/splashscreen.png diff --git a/display/fpga/ip/gram0/gram0.cst b/display/fpga/ip/gram0/gram0.cst deleted file mode 100644 index 17db7cd..0000000 --- a/display/fpga/ip/gram0/gram0.cst +++ /dev/null @@ -1,3 +0,0 @@ -Date=04/22/2018 -Time=17:34:06 - diff --git a/display/fpga/ip/gram0/gram0.edn b/display/fpga/ip/gram0/gram0.edn deleted file mode 100644 index d08f2bf..0000000 --- a/display/fpga/ip/gram0/gram0.edn +++ /dev/null @@ -1,2420 +0,0 @@ -(edif gram0 - (edifVersion 2 0 0) - (edifLevel 0) - (keywordMap (keywordLevel 0)) - (status - (written - (timestamp 2018 4 22 17 34 6) - (program "SCUBA" (version "Diamond (64-bit) 3.10.0.111.2")))) - (comment "/usr/local/diamond/3.10_x64/ispfpga/bin/lin64/scuba -w -n gram0 -lang vhdl -synth synplify -bus_exp 7 -bb -arch xo2c00 -type bram -wp 11 -rp 1010 -data_width 9 -rdata_width 9 -num_rows 7000 -outdataA REGISTERED -outdataB REGISTERED -cascade -1 -resetmode SYNC -sync_reset -memfile /home/markus/projects/workspaceSigasi/lmg6202/bin/gram_init.mem -memformat bin -writemodeA NORMAL -writemodeB NORMAL ") - (library ORCLIB - (edifLevel 0) - (technology - (numberDefinition)) - (cell AND2 - (cellType GENERIC) - (view view1 - (viewType NETLIST) - (interface - (port A - (direction INPUT)) - (port B - (direction INPUT)) - (port Z - (direction OUTPUT))))) - (cell FD1P3DX - (cellType GENERIC) - (view view1 - (viewType NETLIST) - (interface - (port D - (direction INPUT)) - (port SP - (direction INPUT)) - (port CK - (direction INPUT)) - (port CD - (direction INPUT)) - (port Q - (direction OUTPUT))))) - (cell INV - (cellType GENERIC) - (view view1 - (viewType NETLIST) - (interface - (port A - (direction INPUT)) - (port Z - (direction OUTPUT))))) - (cell MUX81 - (cellType GENERIC) - (view view1 - (viewType NETLIST) - (interface - (port D0 - (direction INPUT)) - (port D1 - (direction INPUT)) - (port D2 - (direction INPUT)) - (port D3 - (direction INPUT)) - (port D4 - (direction INPUT)) - (port D5 - (direction INPUT)) - (port D6 - (direction INPUT)) - (port D7 - (direction INPUT)) - (port SD1 - (direction INPUT)) - (port SD2 - (direction INPUT)) - (port SD3 - (direction INPUT)) - (port Z - (direction OUTPUT))))) - (cell VHI - (cellType GENERIC) - (view view1 - (viewType NETLIST) - (interface - (port Z - (direction OUTPUT))))) - (cell VLO - (cellType GENERIC) - (view view1 - (viewType NETLIST) - (interface - (port Z - (direction OUTPUT))))) - (cell DP8KC - (cellType GENERIC) - (view view1 - (viewType NETLIST) - (interface - (port DIA8 - (direction INPUT)) - (port DIA7 - (direction INPUT)) - (port DIA6 - (direction INPUT)) - (port DIA5 - (direction INPUT)) - (port DIA4 - (direction INPUT)) - (port DIA3 - (direction INPUT)) - (port DIA2 - (direction INPUT)) - (port DIA1 - (direction INPUT)) - (port DIA0 - (direction INPUT)) - (port ADA12 - (direction INPUT)) - (port ADA11 - (direction INPUT)) - (port ADA10 - (direction INPUT)) - (port ADA9 - (direction INPUT)) - (port ADA8 - (direction INPUT)) - (port ADA7 - (direction INPUT)) - (port ADA6 - (direction INPUT)) - (port ADA5 - (direction INPUT)) - (port ADA4 - (direction INPUT)) - (port ADA3 - (direction INPUT)) - (port ADA2 - (direction INPUT)) - (port ADA1 - (direction INPUT)) - (port ADA0 - (direction INPUT)) - (port CEA - (direction INPUT)) - (port OCEA - (direction INPUT)) - (port CLKA - (direction INPUT)) - (port WEA - (direction INPUT)) - (port CSA2 - (direction INPUT)) - (port CSA1 - (direction INPUT)) - (port CSA0 - (direction INPUT)) - (port RSTA - (direction INPUT)) - (port DIB8 - (direction INPUT)) - (port DIB7 - (direction INPUT)) - (port DIB6 - (direction INPUT)) - (port DIB5 - (direction INPUT)) - (port DIB4 - (direction INPUT)) - (port DIB3 - (direction INPUT)) - (port DIB2 - (direction INPUT)) - (port DIB1 - (direction INPUT)) - (port DIB0 - (direction INPUT)) - (port ADB12 - (direction INPUT)) - (port ADB11 - (direction INPUT)) - (port ADB10 - (direction INPUT)) - (port ADB9 - (direction INPUT)) - (port ADB8 - (direction INPUT)) - (port ADB7 - (direction INPUT)) - (port ADB6 - (direction INPUT)) - (port ADB5 - (direction INPUT)) - (port ADB4 - (direction INPUT)) - (port ADB3 - (direction INPUT)) - (port ADB2 - (direction INPUT)) - (port ADB1 - (direction INPUT)) - (port ADB0 - (direction INPUT)) - (port CEB - (direction INPUT)) - (port OCEB - (direction INPUT)) - (port CLKB - (direction INPUT)) - (port WEB - (direction INPUT)) - (port CSB2 - (direction INPUT)) - (port CSB1 - (direction INPUT)) - (port CSB0 - (direction INPUT)) - (port RSTB - (direction INPUT)) - (port DOA8 - (direction OUTPUT)) - (port DOA7 - (direction OUTPUT)) - (port DOA6 - (direction OUTPUT)) - (port DOA5 - (direction OUTPUT)) - (port DOA4 - (direction OUTPUT)) - (port DOA3 - (direction OUTPUT)) - (port DOA2 - (direction OUTPUT)) - (port DOA1 - (direction OUTPUT)) - (port DOA0 - (direction OUTPUT)) - (port DOB8 - (direction OUTPUT)) - (port DOB7 - (direction OUTPUT)) - (port DOB6 - (direction OUTPUT)) - (port DOB5 - (direction OUTPUT)) - (port DOB4 - (direction OUTPUT)) - (port DOB3 - (direction OUTPUT)) - (port DOB2 - (direction OUTPUT)) - (port DOB1 - (direction OUTPUT)) - (port DOB0 - (direction OUTPUT))))) - (cell gram0 - (cellType GENERIC) - (view view1 - (viewType NETLIST) - (interface - (port (array (rename DataInA "DataInA(8:0)") 9) - (direction INPUT)) - (port (array (rename DataInB "DataInB(8:0)") 9) - (direction INPUT)) - (port (array (rename AddressA "AddressA(12:0)") 13) - (direction INPUT)) - (port (array (rename AddressB "AddressB(12:0)") 13) - (direction INPUT)) - (port ClockA - (direction INPUT)) - (port ClockB - (direction INPUT)) - (port ClockEnA - (direction INPUT)) - (port ClockEnB - (direction INPUT)) - (port WrA - (direction INPUT)) - (port WrB - (direction INPUT)) - (port ResetA - (direction INPUT)) - (port ResetB - (direction INPUT)) - (port (array (rename QA "QA(8:0)") 9) - (direction OUTPUT)) - (port (array (rename QB "QB(8:0)") 9) - (direction OUTPUT))) - (property NGD_DRC_MASK (integer 1)) - (contents - (instance INV_1 - (viewRef view1 - (cellRef INV))) - (instance AND2_t1 - (viewRef view1 - (cellRef AND2))) - (instance INV_0 - (viewRef view1 - (cellRef INV))) - (instance AND2_t0 - (viewRef view1 - (cellRef AND2))) - (instance gram0_0_0_6 - (viewRef view1 - (cellRef DP8KC)) - (property INIT_DATA - (string "STATIC")) - (property ASYNC_RESET_RELEASE - (string "SYNC")) - (property MEM_LPC_FILE - (string "gram0.lpc")) - (property MEM_INIT_FILE - (string "gram_init.mem")) - (property INITVAL_1F - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_1E - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_1D - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_1C - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_1B - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_1A - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_19 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_18 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_17 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_16 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_15 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_14 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_13 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_12 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_11 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_10 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0F - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0E - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0D - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0C - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0B - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0A - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_09 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_08 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_07 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_06 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_05 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_04 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_03 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_02 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_01 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_00 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property CSDECODE_B - (string "0b000")) - (property CSDECODE_A - (string "0b000")) - (property WRITEMODE_B - (string "NORMAL")) - (property WRITEMODE_A - (string "NORMAL")) - (property GSR - (string "ENABLED")) - (property RESETMODE - (string "SYNC")) - (property REGMODE_B - (string "OUTREG")) - (property REGMODE_A - (string "OUTREG")) - (property DATA_WIDTH_B - (string "9")) - (property DATA_WIDTH_A - (string "9"))) - (instance gram0_1_0_5 - (viewRef view1 - (cellRef DP8KC)) - (property INIT_DATA - (string "STATIC")) - (property ASYNC_RESET_RELEASE - (string "SYNC")) - (property MEM_LPC_FILE - (string "gram0.lpc")) - (property MEM_INIT_FILE - (string "gram_init.mem")) - (property INITVAL_1F - (string "0x0020100201000003F07E001F83FDFE3FBFE3FDC03FEFF00201000000000000000000003FC1E00000")) - (property INITVAL_1E - (string "0x001F03FC3E3F87E000003E0FE00000000003C1FE00000001FC3FE0F0020100000001C00FE01303FF")) - (property INITVAL_1D - (string "0x3FFC13FFFF3C3FF3FE0F00000000000000000000001F03FC00000003F1FE03FC13FE07001803FC0E")) - (property INITVAL_1C - (string "0x00000001003FE01002013F9FE0FC000000000000381FE00C003FDFF03FFD3FE0F3FFFF00E0100201")) - (property INITVAL_1B - (string "0x00000001FC3FFFF3FFFF303FF3FFFF3FEFF003FD207FF3FFFF3FFFF07FFF3FFFF3FFFF00F813FFFF")) - (property INITVAL_1A - (string "0x0020100000000003F83E001FC3FDFE3FDFE1FDE03FE7F0020100000000003FDFE3FDFE3FE1F3F3FF")) - (property INITVAL_19 - (string "0x3FFFF3FE033F83E3F1FE3FFFF3FFFF3FFFF3FDFF3FEFF003F93FE030020100000001C007E01383FF")) - (property INITVAL_18 - (string "0x3FFE13FFFF3C3FF3FE060000000000001E03FDFE3FFFF1FF813FFFF3FFFF003E13FF833FFFF3FFFF")) - (property INITVAL_17 - (string "0x3FFF73FFFF3FFFF3FE0F3F1FE03C0000000000003C1FE004003FDFF01FFF3FF0F3FFFF00E0100201")) - (property INITVAL_16 - (string "0x00000001FE3FFFF3FFFF383FF3FFFE3FE0F003FF307FF3FFFF3FFFF27FFF3FFFF3FFFF00F013FEFF")) - (property INITVAL_15 - (string "0x0000000000000003FC1E001FE3FCFE3FDFE0FDF03FE3F0020100000000003FDFE3FDFF3FE0F3FBFF")) - (property INITVAL_14 - (string "0x3FFFF07E013FC1E3F1FE3FFFF3FFFF3FFFE3FDFF3FE7F003F10FE010020100000001E003E013C3FF")) - (property INITVAL_13 - (string "0x1FFF13FFFF3F3FF3FC020000000000001F03FDFE3FFFF0FFC13FFFF3FEFF001E03FDC03FFFF3FFFF")) - (property INITVAL_12 - (string "0x3FFFB3FFFF3FFFF3FE073E1FE00C0000000000003C1FE000003FFFF21FFF3FF873FFFF0060100201")) - (property INITVAL_11 - (string "0x00000201FE3FFFF3FFFF383FF3FDFE03E01203FF383FF3FFFF3FFFF37FFF3FFFF3FFFF00F013FE3F")) - (property INITVAL_10 - (string "0x0000000000001003FC1E001FE3FC7E3FDFE0FDF93FE3F0020100000000003FDFE3FDFF3FE073FBFF")) - (property INITVAL_0F - (string "0x3FE3F002013FC1E3F9FF3FFFF3FFFF3FDFE3FFFF3FE3F003E103E010020100000001F001E013C3FF")) - (property INITVAL_0E - (string "0x0FFF13FFFF3F3FE3FC000000000000001F03FDFE3FFFF07FC13FFFF07E01001E01FD803FFFF3FFFF")) - (property INITVAL_0D - (string "0x3FFF13FFFF3FFFF3FE033C0FE0000000000000003C0FE000003FDFF007FF3FF013FFFF0020100200")) - (property INITVAL_0C - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0B - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0A - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_09 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_08 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_07 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_06 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_05 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_04 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_03 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_02 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_01 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_00 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property CSDECODE_B - (string "0b001")) - (property CSDECODE_A - (string "0b001")) - (property WRITEMODE_B - (string "NORMAL")) - (property WRITEMODE_A - (string "NORMAL")) - (property GSR - (string "ENABLED")) - (property RESETMODE - (string "SYNC")) - (property REGMODE_B - (string "OUTREG")) - (property REGMODE_A - (string "OUTREG")) - (property DATA_WIDTH_B - (string "9")) - (property DATA_WIDTH_A - (string "9"))) - (instance gram0_2_0_4 - (viewRef view1 - (cellRef DP8KC)) - (property INIT_DATA - (string "STATIC")) - (property ASYNC_RESET_RELEASE - (string "SYNC")) - (property MEM_LPC_FILE - (string "gram0.lpc")) - (property MEM_INIT_FILE - (string "gram_init.mem")) - (property INITVAL_1F - (string "0x00000000003F83E000003FFFF3FFFF01E0100201001F01FC003E1FE3FD823FFFF387FF1FE0100201")) - (property INITVAL_1E - (string "0x000000000000000001C007E0100201001E03FD801FE01002013FE0100201001C03FC02001FE3FDFE")) - (property INITVAL_1D - (string "0x3FEFF0020100000301FE003813FFFE307FF3FE1F3FDFF01E0100000000000000000000381FE00400")) - (property INITVAL_1C - (string "0x00000001FE303FF01E01201FE03C0000000001FE006013C3FF3FFFF3FE0700000000003FC0E003F9")) - (property INITVAL_1B - (string "0x3FE3F3FBFF03FFD3FEFF000000000000000381FE3FFFF3FE3F00000000003FC1E3F07E000003E1FE")) - (property INITVAL_1A - (string "0x00000000003FC3E000003FDFF3FFFF0060100201001F80FC003F1FE3FDC23FFFF383FF1FE0100201")) - (property INITVAL_19 - (string "0x00000000003FFFF3FFFF07E0100201001E03FD800FE01003011FE0100201001E03FC00001FC0FC00")) - (property INITVAL_18 - (string "0x3FC1E0020100000301FE003813FDFE383FF3FE1F3FDFF00E010000000000001FE3FDFE3FFFF00201")) - (property INITVAL_17 - (string "0x00000201FE383FF00E01201FE01C0000000001FE00201383FF003F91FC0000000000003FC07003F1")) - (property INITVAL_16 - (string "0x3FE0F3F3FF01E01002010000000000000003E1FE3FFFF3FE1F00000000003FC1E3F87F000003F0FE")) - (property INITVAL_15 - (string "0x00000000003FC1E000013FC06383FF0020100200001FC07C00000000000000000000000000000000")) - (property INITVAL_14 - (string "0x00000001003FFFF3FFFF03E0100201001F01FDC007E01003811FE0100201001F03FC00001F81FC00")) - (property INITVAL_13 - (string "0x3FE070020100000381FE0020000000000000000000000000000000000000201FE3FDFE3FEFF00201")) - (property INITVAL_12 - (string "0x00000201FE383FF00600301FE00C0000000201FE00201303FE203FF07E0000000000003FC0300201")) - (property INITVAL_11 - (string "0x000000000000000000000000000000000003E1FE3FFFF3FE0F00000000003FC0E3FE3F000003F07E")) - (property INITVAL_10 - (string "0x00000000003FC0E000013FC0E3E3FF0000000000001FE07C00000000000000000000000000000000")) - (property INITVAL_0F - (string "0x00000001003FFFF3FFFF01E0100201001F80FDE007E01003C10FE0100201001F81FC00001F01FD80")) - (property INITVAL_0E - (string "0x1FE0100201000003C1FE001C03FDFE3C3FF3FC023FEFF002010000000000201FE3FDFE3FEFF00201")) - (property INITVAL_0D - (string "0x00000381FE3C3FF00000381FE0040000000301FE00201203FE383FF01E0100000000003FE01003FF")) - (property INITVAL_0C - (string "0x3FF073FFFF20FFF3FE1F00000000000000000000001803FC0600000000003FD073FE1F000003F83E")) - (property INITVAL_0B - (string "0x00000000003FC06002013FC1E3FC7F0000000000201FE03C003FDFE0FDF03FE7F3F3FF03E0100201")) - (property INITVAL_0A - (string "0x000000000000000001F800E0100201001FE07DF003E01003C107E0100201001F80FC00001F03FDF0")) - (property INITVAL_09 - (string "0x07E0100201000003E1FE001F03FDFE3E3FF3FD823FFFF00201000000000000000000003F87E00000")) - (property INITVAL_08 - (string "0x000003E1FE3E3FE000003C1FE0040000000381FE00201001FE3E3FF0020100000001001FE01203FF")) - (property INITVAL_07 - (string "0x3FF873FFFF307FF3FE1F00000000000000000000001C03FC0600000001003FF013FE0F000003FC1E")) - (property INITVAL_06 - (string "0x00000000003FC03002013FD9E3FC0E0000000000201FE01C003FDFE0FDF93FE3F3F3FF03E0100201")) - (property INITVAL_05 - (string "0x000000000000000001FC0060100201301FE03DF801E01003E103E0100201001FC07C00001E03FDFE")) - (property INITVAL_04 - (string "0x01E0100201000003F0FE001F03FDFE3F3FE3FDC23FFFF00201000000000000000000003F83E00000")) - (property INITVAL_03 - (string "0x000003F8FE3F0FE000003E1FE0000000000381FE00200001FE3FE7F0020100000001801FE01303FF")) - (property INITVAL_02 - (string "0x3FF833FFFF383FF3FE0F00000000000000000000001E03FC0200000001F00FF813FE0F001003FC1E")) - (property INITVAL_01 - (string "0x00000000003FE03002013F9FE3FC020000000000301FE00C003FDFE07FFD3FE1F3FBFF01E0100201")) - (property INITVAL_00 - (string "0x000000000000000001FE00601002013F9FE005F800E01003F101E0100201001FE03C00001C03FDFE")) - (property CSDECODE_B - (string "0b010")) - (property CSDECODE_A - (string "0b010")) - (property WRITEMODE_B - (string "NORMAL")) - (property WRITEMODE_A - (string "NORMAL")) - (property GSR - (string "ENABLED")) - (property RESETMODE - (string "SYNC")) - (property REGMODE_B - (string "OUTREG")) - (property REGMODE_A - (string "OUTREG")) - (property DATA_WIDTH_B - (string "9")) - (property DATA_WIDTH_A - (string "9"))) - (instance gram0_3_0_3 - (viewRef view1 - (cellRef DP8KC)) - (property INIT_DATA - (string "STATIC")) - (property ASYNC_RESET_RELEASE - (string "SYNC")) - (property MEM_LPC_FILE - (string "gram0.lpc")) - (property MEM_INIT_FILE - (string "gram_init.mem")) - (property INITVAL_1F - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_1E - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_1D - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_1C - (string "0x00000000003FDFE3FDFF3FE013FBFF0FE01002013FC06000003F80E00000000003F01E381FE00000")) - (property INITVAL_1B - (string "0x000003FD063FFFF3FFFF00E0100201000000000000000000000000000000001F83FDFE3FFFF07FE1")) - (property INITVAL_1A - (string "0x3FFFF1FE01001F01FC00001E03FC0000000001C007E013FE3F00000001F021FFF3FFFF3FEFF00201")) - (property INITVAL_19 - (string "0x00000000000000000000000000000000000381FE3FFFF3FFFF3C3FE3FDFE00E01203FF00201003FE")) - (property INITVAL_18 - (string "0x0020100201381FE005E007E01002013F0FE3F1FE3FFFF3FE0F201FE3FC1E3FDFE01FF93FE0700201")) - (property INITVAL_17 - (string "0x00000000003FDFF3FFFF3FE033FFFF3FFFF002013FC0E002013FC1E00000000003F83E3C1FE00400")) - (property INITVAL_16 - (string "0x001C03FD8E3FFFF3FFFF01E013F3FF07FFD3FFFF3F9FE1FC000000000000001FC3FDFE3FFFF07FF1")) - (property INITVAL_15 - (string "0x3FFFF3FE7F001F81FC00001F03FC0000000001E007E013FA3F00000001FE30FFF3FFFF3FE7F00381")) - (property INITVAL_14 - (string "0x3FFFF387FF3FFC13FFFF002010020000000381FE3FFFF3FFFF3E3FE3FDFE1FE01303FF00201201FE")) - (property INITVAL_13 - (string "0x00201002003C1FE005C00FE01002013FC3E3F9FF3FFFF3FE07301FE3FC1E3FDFF03FFF3FE0700201")) - (property INITVAL_12 - (string "0x00000000003FFFF3FFFF3FF013FFFF3FFFF03E013FC07002013FC0E00001000003FC1E381FE00C00")) - (property INITVAL_11 - (string "0x001F03FDC03FFFF3FFFF00E013FBFF03FFD3FEFF3FDFE0FC000000000000001FE3FDFE3FFFF03FF1")) - (property INITVAL_10 - (string "0x3FFFF3FFFF003FC0FC00001F01FC0000000001E003E013FA7F00000301FE383FF3FFFF3FE7F003C1")) - (property INITVAL_0F - (string "0x3FFFF3C3FF1FFE13FFFF0020100000000003C1FE3FFFF3FFFF3E1FE3FDFE3FE07383FF00201301FE")) - (property INITVAL_0E - (string "0x00201002003E1FE001800FE01002013FC0F3FBFF3FFFF3FE03381FE3FC1E3FFFF01FFF3FE0700201")) - (property INITVAL_0D - (string "0x00000000003FDFE3FFFF3FE013FFFF3FFFF0FE013FC03002013FC0600201001003FC0E301FE01C00")) - (property INITVAL_0C - (string "0x001FE0FD003FFFF3FFFF006013FBFF01FFF3FEFF3FDFE07C0100000000000000000000201FE01C00")) - (property INITVAL_0B - (string "0x000003F1FE003FF07E00001F80FC0000000001F001E013F2FF000003E1FE00200000003FC3E001E0")) - (property INITVAL_0A - (string "0x3FEFF3C3FF0FFF13FFFF00200000000000000000000003E0FE00000000003FE0F3C3FF00200381FE")) - (property INITVAL_09 - (string "0x00201000003E0FE001801FE01003013FE0100201001E03FC023C1FE3FD0E3FFFF20FFF3FE0300201")) - (property INITVAL_08 - (string "0x000000000000000001801FE0100201001F81FC003FE03002013FC0700201001803FC0E201FE3FDFE")) - (property INITVAL_07 - (string "0x3FFFF03E0100000001FE002013FFFF21FFF3FE7F3FDFE07C0100000000000000000000301FE01C00")) - (property INITVAL_06 - (string "0x00000201FE003FF03E01001FC07C0000000001F800E013E3FF3FFFF3FEFF00000000003FC1E001E0")) - (property INITVAL_05 - (string "0x3FE7F3E3FF0FFF93FFFF00000000000000000000000003F07E00000000003FC1E3E1FF00000381FE")) - (property INITVAL_04 - (string "0x00200000003F07E001003FFFF3FFFF0FE0100201001F03FC003E1FE3FD063FFFF307FF3FE0100201")) - (property INITVAL_03 - (string "0x000000000000000001800FE0100201001E03FD003FE01002013FE0300201001C03FC06201FE3FDFE")) - (property INITVAL_02 - (string "0x3FFFF0060100000201FE003013FFFF30FFF3FE3F3FDFE03E0100000000000000000000381FE00C00")) - (property INITVAL_01 - (string "0x00000001FE203FF01E01001FE07C0000000001FC00E013C3FF3FFFF3FE1F00000000003FC0E001F1")) - (property INITVAL_00 - (string "0x3FE7F3F3FF07FF93FFFF00000000000000000000000003F87E00000000003FC1E3E0FE000003C1FE")) - (property CSDECODE_B - (string "0b011")) - (property CSDECODE_A - (string "0b011")) - (property WRITEMODE_B - (string "NORMAL")) - (property WRITEMODE_A - (string "NORMAL")) - (property GSR - (string "ENABLED")) - (property RESETMODE - (string "SYNC")) - (property REGMODE_B - (string "OUTREG")) - (property REGMODE_A - (string "OUTREG")) - (property DATA_WIDTH_B - (string "9")) - (property DATA_WIDTH_A - (string "9"))) - (instance gram0_4_0_2 - (viewRef view1 - (cellRef DP8KC)) - (property INIT_DATA - (string "STATIC")) - (property ASYNC_RESET_RELEASE - (string "SYNC")) - (property MEM_LPC_FILE - (string "gram0.lpc")) - (property MEM_INIT_FILE - (string "gram_init.mem")) - (property INITVAL_1F - (string "0x00000000003C40101E013FE0F003E1001F03FC003F8FE001C01FE013E303003F13FE0E3E0FE001E0")) - (property INITVAL_1E - (string "0x0011E03C0E3E1FE005E01FE01003FF3FE0F3FFFF20FFF3FE3F00000000000001C078F02000E1E000")) - (property INITVAL_1D - (string "0x1C00000000003C10061F3C10001D002060F3020F01C002001F3C0001C1F801E000001D1E00000000")) - (property INITVAL_1C - (string "0x3FDFE0FDF03FE7F3E3FF07E010020100000001801E2010F0000020101E010003C0001E03D0001CF0")) - (property INITVAL_1B - (string "0x2007E0E00000CE00020103C0001C003FAF3002000390001C00001E03FDFE3E3FF3FD823FFFF00201")) - (property INITVAL_1A - (string "0x0000000000301FE00D800021E000F0000003800201DE0000701E0003E10E0023D0000E001E0000E0")) - (property INITVAL_19 - (string "0x201CE27006001E0000F000000001FE3FF073FFFF307FF3FE1F0000000000000003FC7E380023C000")) - (property INITVAL_18 - (string "0x1E00000000004F10020F0F10000D8031E073800101C000001E1E0001E18E00F003FC1F3E0FE00000")) - (property INITVAL_17 - (string "0x3FDFE0FDF13FE3F3F3FF03E010020100000001F00FE0103A010030100E000001C2000E01D8000478")) - (property INITVAL_16 - (string "0x301E607000000F10020103D0001D0031E7F003F901E013FE3F001F03FDFE3F3FE3FD823FFFF00201")) - (property INITVAL_15 - (string "0x00000000000F180005C02000E00070000003C00000CF0000390F0000719C0021E2000E000E000070")) - (property INITVAL_14 - (string "0x2007E3F0023F0FE0018007E01203FF3FF833FFFF383FF3FE0F00000000000018000438380001E000")) - (property INITVAL_13 - (string "0x0F00000000002712020F07180005803FA023C00000C002000F0E0003F102006012020F0018000400")) - (property INITVAL_12 - (string "0x3FDFE07DF93FE1F3FBFF01E0100201000000003C07800039800038100C002000E3000600D8000439")) - (property INITVAL_11 - (string "0x3818207C00002790020100D8000F812023F0020101C0000039001F83FDFE3F3FE3FDC03FEFF00201")) - (property INITVAL_10 - (string "0x0000000000079C0005C03820200038000000F80000C700027907800039F80003C3C0020003C00078")) - (property INITVAL_0F - (string "0x3001E3E002000700000007800301FE3FFC13FFFF383FF3FE0F0000000000001803FC1E301FE03C00")) - (property INITVAL_0E - (string "0x3FE0F3C3FF00238300063F1FE005C03E000381FE003E13FE01070001F100003E13FE073F1FE00000")) - (property INITVAL_0D - (string "0x3FDFE03FFD3FE0F3FFFF00E010020100000001F003E013E2FF201FE1FC001FE013020300C003FE1F")) - (property INITVAL_0C - (string "0x3810003C003FC06203FF003C1007C02021F001FE00F813FE0F001F83FDFE3F9FE1FDE03FE7F00201")) - (property INITVAL_0B - (string "0x0000000000300FE0000001C013C3FF003FD00000000300030103E0101AC1001C001C001FC0000018")) - (property INITVAL_0A - (string "0x300021C0003FC3E001F800201383FE3FFC13FFFF3C3FF3FE06000000000000000000000000000000")) - (property INITVAL_09 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_08 - (string "0x3FDFF01FFF3FE0F3FFFF00E010020100000000000000000000000000000000000000000000000000")) - (property INITVAL_07 - (string "0x00000000000000000000000000000000000000000000000000001FC3FCFE3FDFE0FDF03FE3F00201")) - (property INITVAL_06 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_05 - (string "0x0000000000000000000000000381FE1FFE13FFFF3E3FF3FC02000000000000000000000000000000")) - (property INITVAL_04 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_03 - (string "0x3FDFF01FFF3FF073FFFF006010020100000000000000000000000000000000000000000000000000")) - (property INITVAL_02 - (string "0x00000000000000000000000000000000000000000000000000001FC3FC7E3FDFE07DF03FE3F00201")) - (property INITVAL_01 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_00 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property CSDECODE_B - (string "0b100")) - (property CSDECODE_A - (string "0b100")) - (property WRITEMODE_B - (string "NORMAL")) - (property WRITEMODE_A - (string "NORMAL")) - (property GSR - (string "ENABLED")) - (property RESETMODE - (string "SYNC")) - (property REGMODE_B - (string "OUTREG")) - (property REGMODE_A - (string "OUTREG")) - (property DATA_WIDTH_B - (string "9")) - (property DATA_WIDTH_A - (string "9"))) - (instance gram0_5_0_1 - (viewRef view1 - (cellRef DP8KC)) - (property INIT_DATA - (string "STATIC")) - (property ASYNC_RESET_RELEASE - (string "SYNC")) - (property MEM_LPC_FILE - (string "gram0.lpc")) - (property MEM_INIT_FILE - (string "gram_init.mem")) - (property INITVAL_1F - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_1E - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_1D - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_1C - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_1B - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_1A - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_19 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_18 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_17 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_16 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_15 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_14 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_13 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_12 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_11 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_10 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0F - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0E - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0D - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0C - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0B - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0A - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_09 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_08 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_07 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_06 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_05 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_04 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_03 - (string "0x0000000000000000000000000000000000000000000000000000000000000000001CE0001E003C00")) - (property INITVAL_02 - (string "0x38000001FE000003F802001FC01C000020F003E0003011FE0038000381C001D003FC3E001FE01C00")) - (property INITVAL_01 - (string "0x3F1FE0FDC03FE3F3C3FF03E010020100000381E01C000381FE0020103C003FC0E001F101E013F3FF")) - (property INITVAL_00 - (string "0x0001E1C0003F87E001F800E0103A013C2E1003F907E013FAFF001C03FDFE3C3FF3FF063FFFF00601")) - (property CSDECODE_B - (string "0b101")) - (property CSDECODE_A - (string "0b101")) - (property WRITEMODE_B - (string "NORMAL")) - (property WRITEMODE_A - (string "NORMAL")) - (property GSR - (string "ENABLED")) - (property RESETMODE - (string "SYNC")) - (property REGMODE_B - (string "OUTREG")) - (property REGMODE_A - (string "OUTREG")) - (property DATA_WIDTH_B - (string "9")) - (property DATA_WIDTH_A - (string "9"))) - (instance scuba_vhi_inst - (viewRef view1 - (cellRef VHI))) - (instance gram0_6_0_0 - (viewRef view1 - (cellRef DP8KC)) - (property INIT_DATA - (string "STATIC")) - (property ASYNC_RESET_RELEASE - (string "SYNC")) - (property MEM_LPC_FILE - (string "gram0.lpc")) - (property MEM_INIT_FILE - (string "gram_init.mem")) - (property INITVAL_1F - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_1E - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_1D - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_1C - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_1B - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_1A - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_19 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_18 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_17 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_16 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_15 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_14 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_13 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_12 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_11 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_10 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0F - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0E - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0D - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0C - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0B - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_0A - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_09 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_08 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_07 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_06 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_05 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_04 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_03 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_02 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_01 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property INITVAL_00 - (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000")) - (property CSDECODE_B - (string "0b110")) - (property CSDECODE_A - (string "0b110")) - (property WRITEMODE_B - (string "NORMAL")) - (property WRITEMODE_A - (string "NORMAL")) - (property GSR - (string "ENABLED")) - (property RESETMODE - (string "SYNC")) - (property REGMODE_B - (string "OUTREG")) - (property REGMODE_A - (string "OUTREG")) - (property DATA_WIDTH_B - (string "9")) - (property DATA_WIDTH_A - (string "9"))) - (instance FF_11 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_10 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_9 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_8 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_7 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_6 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_5 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_4 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_3 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_2 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_1 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_0 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance mux_17 - (viewRef view1 - (cellRef MUX81))) - (instance mux_16 - (viewRef view1 - (cellRef MUX81))) - (instance mux_15 - (viewRef view1 - (cellRef MUX81))) - (instance mux_14 - (viewRef view1 - (cellRef MUX81))) - (instance mux_13 - (viewRef view1 - (cellRef MUX81))) - (instance mux_12 - (viewRef view1 - (cellRef MUX81))) - (instance mux_11 - (viewRef view1 - (cellRef MUX81))) - (instance mux_10 - (viewRef view1 - (cellRef MUX81))) - (instance mux_9 - (viewRef view1 - (cellRef MUX81))) - (instance mux_8 - (viewRef view1 - (cellRef MUX81))) - (instance mux_7 - (viewRef view1 - (cellRef MUX81))) - (instance mux_6 - (viewRef view1 - (cellRef MUX81))) - (instance mux_5 - (viewRef view1 - (cellRef MUX81))) - (instance mux_4 - (viewRef view1 - (cellRef MUX81))) - (instance mux_3 - (viewRef view1 - (cellRef MUX81))) - (instance mux_2 - (viewRef view1 - (cellRef MUX81))) - (instance mux_1 - (viewRef view1 - (cellRef MUX81))) - (instance scuba_vlo_inst - (viewRef view1 - (cellRef VLO))) - (instance mux_0 - (viewRef view1 - (cellRef MUX81))) - (net wren0_inv - (joined - (portRef A (instanceRef AND2_t1)) - (portRef Z (instanceRef INV_1)))) - (net wren1_inv - (joined - (portRef A (instanceRef AND2_t0)) - (portRef Z (instanceRef INV_0)))) - (net scuba_vhi - (joined - (portRef Z (instanceRef scuba_vhi_inst)) - (portRef ADB0 (instanceRef gram0_0_0_6)) - (portRef ADA0 (instanceRef gram0_0_0_6)) - (portRef ADB0 (instanceRef gram0_1_0_5)) - (portRef ADA0 (instanceRef gram0_1_0_5)) - (portRef ADB0 (instanceRef gram0_2_0_4)) - (portRef ADA0 (instanceRef gram0_2_0_4)) - (portRef ADB0 (instanceRef gram0_3_0_3)) - (portRef ADA0 (instanceRef gram0_3_0_3)) - (portRef ADB0 (instanceRef gram0_4_0_2)) - (portRef ADA0 (instanceRef gram0_4_0_2)) - (portRef ADB0 (instanceRef gram0_5_0_1)) - (portRef ADA0 (instanceRef gram0_5_0_1)) - (portRef ADB0 (instanceRef gram0_6_0_0)) - (portRef ADA0 (instanceRef gram0_6_0_0)))) - (net wren0_inv_g - (joined - (portRef SP (instanceRef FF_9)) - (portRef Z (instanceRef AND2_t1)) - (portRef SP (instanceRef FF_11)) - (portRef SP (instanceRef FF_10)))) - (net addr010_ff - (joined - (portRef D (instanceRef FF_8)) - (portRef Q (instanceRef FF_11)))) - (net addr011_ff - (joined - (portRef D (instanceRef FF_7)) - (portRef Q (instanceRef FF_10)))) - (net addr012_ff - (joined - (portRef D (instanceRef FF_6)) - (portRef Q (instanceRef FF_9)))) - (net wren1_inv_g - (joined - (portRef SP (instanceRef FF_3)) - (portRef Z (instanceRef AND2_t0)) - (portRef SP (instanceRef FF_5)) - (portRef SP (instanceRef FF_4)))) - (net addr110_ff - (joined - (portRef D (instanceRef FF_2)) - (portRef Q (instanceRef FF_5)))) - (net addr111_ff - (joined - (portRef D (instanceRef FF_1)) - (portRef Q (instanceRef FF_4)))) - (net addr112_ff - (joined - (portRef D (instanceRef FF_0)) - (portRef Q (instanceRef FF_3)))) - (net mdout0_6_0 - (joined - (portRef D6 (instanceRef mux_17)) - (portRef DOA0 (instanceRef gram0_6_0_0)))) - (net mdout0_5_0 - (joined - (portRef D5 (instanceRef mux_17)) - (portRef DOA0 (instanceRef gram0_5_0_1)))) - (net mdout0_4_0 - (joined - (portRef D4 (instanceRef mux_17)) - (portRef DOA0 (instanceRef gram0_4_0_2)))) - (net mdout0_3_0 - (joined - (portRef D3 (instanceRef mux_17)) - (portRef DOA0 (instanceRef gram0_3_0_3)))) - (net mdout0_2_0 - (joined - (portRef D2 (instanceRef mux_17)) - (portRef DOA0 (instanceRef gram0_2_0_4)))) - (net mdout0_1_0 - (joined - (portRef D1 (instanceRef mux_17)) - (portRef DOA0 (instanceRef gram0_1_0_5)))) - (net mdout0_0_0 - (joined - (portRef D0 (instanceRef mux_17)) - (portRef DOA0 (instanceRef gram0_0_0_6)))) - (net mdout0_6_1 - (joined - (portRef D6 (instanceRef mux_16)) - (portRef DOA1 (instanceRef gram0_6_0_0)))) - (net mdout0_5_1 - (joined - (portRef D5 (instanceRef mux_16)) - (portRef DOA1 (instanceRef gram0_5_0_1)))) - (net mdout0_4_1 - (joined - (portRef D4 (instanceRef mux_16)) - (portRef DOA1 (instanceRef gram0_4_0_2)))) - (net mdout0_3_1 - (joined - (portRef D3 (instanceRef mux_16)) - (portRef DOA1 (instanceRef gram0_3_0_3)))) - (net mdout0_2_1 - (joined - (portRef D2 (instanceRef mux_16)) - (portRef DOA1 (instanceRef gram0_2_0_4)))) - (net mdout0_1_1 - (joined - (portRef D1 (instanceRef mux_16)) - (portRef DOA1 (instanceRef gram0_1_0_5)))) - (net mdout0_0_1 - (joined - (portRef D0 (instanceRef mux_16)) - (portRef DOA1 (instanceRef gram0_0_0_6)))) - (net mdout0_6_2 - (joined - (portRef D6 (instanceRef mux_15)) - (portRef DOA2 (instanceRef gram0_6_0_0)))) - (net mdout0_5_2 - (joined - (portRef D5 (instanceRef mux_15)) - (portRef DOA2 (instanceRef gram0_5_0_1)))) - (net mdout0_4_2 - (joined - (portRef D4 (instanceRef mux_15)) - (portRef DOA2 (instanceRef gram0_4_0_2)))) - (net mdout0_3_2 - (joined - (portRef D3 (instanceRef mux_15)) - (portRef DOA2 (instanceRef gram0_3_0_3)))) - (net mdout0_2_2 - (joined - (portRef D2 (instanceRef mux_15)) - (portRef DOA2 (instanceRef gram0_2_0_4)))) - (net mdout0_1_2 - (joined - (portRef D1 (instanceRef mux_15)) - (portRef DOA2 (instanceRef gram0_1_0_5)))) - (net mdout0_0_2 - (joined - (portRef D0 (instanceRef mux_15)) - (portRef DOA2 (instanceRef gram0_0_0_6)))) - (net mdout0_6_3 - (joined - (portRef D6 (instanceRef mux_14)) - (portRef DOA3 (instanceRef gram0_6_0_0)))) - (net mdout0_5_3 - (joined - (portRef D5 (instanceRef mux_14)) - (portRef DOA3 (instanceRef gram0_5_0_1)))) - (net mdout0_4_3 - (joined - (portRef D4 (instanceRef mux_14)) - (portRef DOA3 (instanceRef gram0_4_0_2)))) - (net mdout0_3_3 - (joined - (portRef D3 (instanceRef mux_14)) - (portRef DOA3 (instanceRef gram0_3_0_3)))) - (net mdout0_2_3 - (joined - (portRef D2 (instanceRef mux_14)) - (portRef DOA3 (instanceRef gram0_2_0_4)))) - (net mdout0_1_3 - (joined - (portRef D1 (instanceRef mux_14)) - (portRef DOA3 (instanceRef gram0_1_0_5)))) - (net mdout0_0_3 - (joined - (portRef D0 (instanceRef mux_14)) - (portRef DOA3 (instanceRef gram0_0_0_6)))) - (net mdout0_6_4 - (joined - (portRef D6 (instanceRef mux_13)) - (portRef DOA4 (instanceRef gram0_6_0_0)))) - (net mdout0_5_4 - (joined - (portRef D5 (instanceRef mux_13)) - (portRef DOA4 (instanceRef gram0_5_0_1)))) - (net mdout0_4_4 - (joined - (portRef D4 (instanceRef mux_13)) - (portRef DOA4 (instanceRef gram0_4_0_2)))) - (net mdout0_3_4 - (joined - (portRef D3 (instanceRef mux_13)) - (portRef DOA4 (instanceRef gram0_3_0_3)))) - (net mdout0_2_4 - (joined - (portRef D2 (instanceRef mux_13)) - (portRef DOA4 (instanceRef gram0_2_0_4)))) - (net mdout0_1_4 - (joined - (portRef D1 (instanceRef mux_13)) - (portRef DOA4 (instanceRef gram0_1_0_5)))) - (net mdout0_0_4 - (joined - (portRef D0 (instanceRef mux_13)) - (portRef DOA4 (instanceRef gram0_0_0_6)))) - (net mdout0_6_5 - (joined - (portRef D6 (instanceRef mux_12)) - (portRef DOA5 (instanceRef gram0_6_0_0)))) - (net mdout0_5_5 - (joined - (portRef D5 (instanceRef mux_12)) - (portRef DOA5 (instanceRef gram0_5_0_1)))) - (net mdout0_4_5 - (joined - (portRef D4 (instanceRef mux_12)) - (portRef DOA5 (instanceRef gram0_4_0_2)))) - (net mdout0_3_5 - (joined - (portRef D3 (instanceRef mux_12)) - (portRef DOA5 (instanceRef gram0_3_0_3)))) - (net mdout0_2_5 - (joined - (portRef D2 (instanceRef mux_12)) - (portRef DOA5 (instanceRef gram0_2_0_4)))) - (net mdout0_1_5 - (joined - (portRef D1 (instanceRef mux_12)) - (portRef DOA5 (instanceRef gram0_1_0_5)))) - (net mdout0_0_5 - (joined - (portRef D0 (instanceRef mux_12)) - (portRef DOA5 (instanceRef gram0_0_0_6)))) - (net mdout0_6_6 - (joined - (portRef D6 (instanceRef mux_11)) - (portRef DOA6 (instanceRef gram0_6_0_0)))) - (net mdout0_5_6 - (joined - (portRef D5 (instanceRef mux_11)) - (portRef DOA6 (instanceRef gram0_5_0_1)))) - (net mdout0_4_6 - (joined - (portRef D4 (instanceRef mux_11)) - (portRef DOA6 (instanceRef gram0_4_0_2)))) - (net mdout0_3_6 - (joined - (portRef D3 (instanceRef mux_11)) - (portRef DOA6 (instanceRef gram0_3_0_3)))) - (net mdout0_2_6 - (joined - (portRef D2 (instanceRef mux_11)) - (portRef DOA6 (instanceRef gram0_2_0_4)))) - (net mdout0_1_6 - (joined - (portRef D1 (instanceRef mux_11)) - (portRef DOA6 (instanceRef gram0_1_0_5)))) - (net mdout0_0_6 - (joined - (portRef D0 (instanceRef mux_11)) - (portRef DOA6 (instanceRef gram0_0_0_6)))) - (net mdout0_6_7 - (joined - (portRef D6 (instanceRef mux_10)) - (portRef DOA7 (instanceRef gram0_6_0_0)))) - (net mdout0_5_7 - (joined - (portRef D5 (instanceRef mux_10)) - (portRef DOA7 (instanceRef gram0_5_0_1)))) - (net mdout0_4_7 - (joined - (portRef D4 (instanceRef mux_10)) - (portRef DOA7 (instanceRef gram0_4_0_2)))) - (net mdout0_3_7 - (joined - (portRef D3 (instanceRef mux_10)) - (portRef DOA7 (instanceRef gram0_3_0_3)))) - (net mdout0_2_7 - (joined - (portRef D2 (instanceRef mux_10)) - (portRef DOA7 (instanceRef gram0_2_0_4)))) - (net mdout0_1_7 - (joined - (portRef D1 (instanceRef mux_10)) - (portRef DOA7 (instanceRef gram0_1_0_5)))) - (net mdout0_0_7 - (joined - (portRef D0 (instanceRef mux_10)) - (portRef DOA7 (instanceRef gram0_0_0_6)))) - (net addr012_ff2 - (joined - (portRef SD3 (instanceRef mux_9)) - (portRef Q (instanceRef FF_6)) - (portRef SD3 (instanceRef mux_17)) - (portRef SD3 (instanceRef mux_16)) - (portRef SD3 (instanceRef mux_15)) - (portRef SD3 (instanceRef mux_14)) - (portRef SD3 (instanceRef mux_13)) - (portRef SD3 (instanceRef mux_12)) - (portRef SD3 (instanceRef mux_11)) - (portRef SD3 (instanceRef mux_10)))) - (net addr011_ff2 - (joined - (portRef SD2 (instanceRef mux_9)) - (portRef Q (instanceRef FF_7)) - (portRef SD2 (instanceRef mux_17)) - (portRef SD2 (instanceRef mux_16)) - (portRef SD2 (instanceRef mux_15)) - (portRef SD2 (instanceRef mux_14)) - (portRef SD2 (instanceRef mux_13)) - (portRef SD2 (instanceRef mux_12)) - (portRef SD2 (instanceRef mux_11)) - (portRef SD2 (instanceRef mux_10)))) - (net addr010_ff2 - (joined - (portRef SD1 (instanceRef mux_9)) - (portRef Q (instanceRef FF_8)) - (portRef SD1 (instanceRef mux_17)) - (portRef SD1 (instanceRef mux_16)) - (portRef SD1 (instanceRef mux_15)) - (portRef SD1 (instanceRef mux_14)) - (portRef SD1 (instanceRef mux_13)) - (portRef SD1 (instanceRef mux_12)) - (portRef SD1 (instanceRef mux_11)) - (portRef SD1 (instanceRef mux_10)))) - (net mdout0_6_8 - (joined - (portRef D6 (instanceRef mux_9)) - (portRef DOA8 (instanceRef gram0_6_0_0)))) - (net mdout0_5_8 - (joined - (portRef D5 (instanceRef mux_9)) - (portRef DOA8 (instanceRef gram0_5_0_1)))) - (net mdout0_4_8 - (joined - (portRef D4 (instanceRef mux_9)) - (portRef DOA8 (instanceRef gram0_4_0_2)))) - (net mdout0_3_8 - (joined - (portRef D3 (instanceRef mux_9)) - (portRef DOA8 (instanceRef gram0_3_0_3)))) - (net mdout0_2_8 - (joined - (portRef D2 (instanceRef mux_9)) - (portRef DOA8 (instanceRef gram0_2_0_4)))) - (net mdout0_1_8 - (joined - (portRef D1 (instanceRef mux_9)) - (portRef DOA8 (instanceRef gram0_1_0_5)))) - (net mdout0_0_8 - (joined - (portRef D0 (instanceRef mux_9)) - (portRef DOA8 (instanceRef gram0_0_0_6)))) - (net mdout1_6_0 - (joined - (portRef D6 (instanceRef mux_8)) - (portRef DOB0 (instanceRef gram0_6_0_0)))) - (net mdout1_5_0 - (joined - (portRef D5 (instanceRef mux_8)) - (portRef DOB0 (instanceRef gram0_5_0_1)))) - (net mdout1_4_0 - (joined - (portRef D4 (instanceRef mux_8)) - (portRef DOB0 (instanceRef gram0_4_0_2)))) - (net mdout1_3_0 - (joined - (portRef D3 (instanceRef mux_8)) - (portRef DOB0 (instanceRef gram0_3_0_3)))) - (net mdout1_2_0 - (joined - (portRef D2 (instanceRef mux_8)) - (portRef DOB0 (instanceRef gram0_2_0_4)))) - (net mdout1_1_0 - (joined - (portRef D1 (instanceRef mux_8)) - (portRef DOB0 (instanceRef gram0_1_0_5)))) - (net mdout1_0_0 - (joined - (portRef D0 (instanceRef mux_8)) - (portRef DOB0 (instanceRef gram0_0_0_6)))) - (net mdout1_6_1 - (joined - (portRef D6 (instanceRef mux_7)) - (portRef DOB1 (instanceRef gram0_6_0_0)))) - (net mdout1_5_1 - (joined - (portRef D5 (instanceRef mux_7)) - (portRef DOB1 (instanceRef gram0_5_0_1)))) - (net mdout1_4_1 - (joined - (portRef D4 (instanceRef mux_7)) - (portRef DOB1 (instanceRef gram0_4_0_2)))) - (net mdout1_3_1 - (joined - (portRef D3 (instanceRef mux_7)) - (portRef DOB1 (instanceRef gram0_3_0_3)))) - (net mdout1_2_1 - (joined - (portRef D2 (instanceRef mux_7)) - (portRef DOB1 (instanceRef gram0_2_0_4)))) - (net mdout1_1_1 - (joined - (portRef D1 (instanceRef mux_7)) - (portRef DOB1 (instanceRef gram0_1_0_5)))) - (net mdout1_0_1 - (joined - (portRef D0 (instanceRef mux_7)) - (portRef DOB1 (instanceRef gram0_0_0_6)))) - (net mdout1_6_2 - (joined - (portRef D6 (instanceRef mux_6)) - (portRef DOB2 (instanceRef gram0_6_0_0)))) - (net mdout1_5_2 - (joined - (portRef D5 (instanceRef mux_6)) - (portRef DOB2 (instanceRef gram0_5_0_1)))) - (net mdout1_4_2 - (joined - (portRef D4 (instanceRef mux_6)) - (portRef DOB2 (instanceRef gram0_4_0_2)))) - (net mdout1_3_2 - (joined - (portRef D3 (instanceRef mux_6)) - (portRef DOB2 (instanceRef gram0_3_0_3)))) - (net mdout1_2_2 - (joined - (portRef D2 (instanceRef mux_6)) - (portRef DOB2 (instanceRef gram0_2_0_4)))) - (net mdout1_1_2 - (joined - (portRef D1 (instanceRef mux_6)) - (portRef DOB2 (instanceRef gram0_1_0_5)))) - (net mdout1_0_2 - (joined - (portRef D0 (instanceRef mux_6)) - (portRef DOB2 (instanceRef gram0_0_0_6)))) - (net mdout1_6_3 - (joined - (portRef D6 (instanceRef mux_5)) - (portRef DOB3 (instanceRef gram0_6_0_0)))) - (net mdout1_5_3 - (joined - (portRef D5 (instanceRef mux_5)) - (portRef DOB3 (instanceRef gram0_5_0_1)))) - (net mdout1_4_3 - (joined - (portRef D4 (instanceRef mux_5)) - (portRef DOB3 (instanceRef gram0_4_0_2)))) - (net mdout1_3_3 - (joined - (portRef D3 (instanceRef mux_5)) - (portRef DOB3 (instanceRef gram0_3_0_3)))) - (net mdout1_2_3 - (joined - (portRef D2 (instanceRef mux_5)) - (portRef DOB3 (instanceRef gram0_2_0_4)))) - (net mdout1_1_3 - (joined - (portRef D1 (instanceRef mux_5)) - (portRef DOB3 (instanceRef gram0_1_0_5)))) - (net mdout1_0_3 - (joined - (portRef D0 (instanceRef mux_5)) - (portRef DOB3 (instanceRef gram0_0_0_6)))) - (net mdout1_6_4 - (joined - (portRef D6 (instanceRef mux_4)) - (portRef DOB4 (instanceRef gram0_6_0_0)))) - (net mdout1_5_4 - (joined - (portRef D5 (instanceRef mux_4)) - (portRef DOB4 (instanceRef gram0_5_0_1)))) - (net mdout1_4_4 - (joined - (portRef D4 (instanceRef mux_4)) - (portRef DOB4 (instanceRef gram0_4_0_2)))) - (net mdout1_3_4 - (joined - (portRef D3 (instanceRef mux_4)) - (portRef DOB4 (instanceRef gram0_3_0_3)))) - (net mdout1_2_4 - (joined - (portRef D2 (instanceRef mux_4)) - (portRef DOB4 (instanceRef gram0_2_0_4)))) - (net mdout1_1_4 - (joined - (portRef D1 (instanceRef mux_4)) - (portRef DOB4 (instanceRef gram0_1_0_5)))) - (net mdout1_0_4 - (joined - (portRef D0 (instanceRef mux_4)) - (portRef DOB4 (instanceRef gram0_0_0_6)))) - (net mdout1_6_5 - (joined - (portRef D6 (instanceRef mux_3)) - (portRef DOB5 (instanceRef gram0_6_0_0)))) - (net mdout1_5_5 - (joined - (portRef D5 (instanceRef mux_3)) - (portRef DOB5 (instanceRef gram0_5_0_1)))) - (net mdout1_4_5 - (joined - (portRef D4 (instanceRef mux_3)) - (portRef DOB5 (instanceRef gram0_4_0_2)))) - (net mdout1_3_5 - (joined - (portRef D3 (instanceRef mux_3)) - (portRef DOB5 (instanceRef gram0_3_0_3)))) - (net mdout1_2_5 - (joined - (portRef D2 (instanceRef mux_3)) - (portRef DOB5 (instanceRef gram0_2_0_4)))) - (net mdout1_1_5 - (joined - (portRef D1 (instanceRef mux_3)) - (portRef DOB5 (instanceRef gram0_1_0_5)))) - (net mdout1_0_5 - (joined - (portRef D0 (instanceRef mux_3)) - (portRef DOB5 (instanceRef gram0_0_0_6)))) - (net mdout1_6_6 - (joined - (portRef D6 (instanceRef mux_2)) - (portRef DOB6 (instanceRef gram0_6_0_0)))) - (net mdout1_5_6 - (joined - (portRef D5 (instanceRef mux_2)) - (portRef DOB6 (instanceRef gram0_5_0_1)))) - (net mdout1_4_6 - (joined - (portRef D4 (instanceRef mux_2)) - (portRef DOB6 (instanceRef gram0_4_0_2)))) - (net mdout1_3_6 - (joined - (portRef D3 (instanceRef mux_2)) - (portRef DOB6 (instanceRef gram0_3_0_3)))) - (net mdout1_2_6 - (joined - (portRef D2 (instanceRef mux_2)) - (portRef DOB6 (instanceRef gram0_2_0_4)))) - (net mdout1_1_6 - (joined - (portRef D1 (instanceRef mux_2)) - (portRef DOB6 (instanceRef gram0_1_0_5)))) - (net mdout1_0_6 - (joined - (portRef D0 (instanceRef mux_2)) - (portRef DOB6 (instanceRef gram0_0_0_6)))) - (net mdout1_6_7 - (joined - (portRef D6 (instanceRef mux_1)) - (portRef DOB7 (instanceRef gram0_6_0_0)))) - (net mdout1_5_7 - (joined - (portRef D5 (instanceRef mux_1)) - (portRef DOB7 (instanceRef gram0_5_0_1)))) - (net mdout1_4_7 - (joined - (portRef D4 (instanceRef mux_1)) - (portRef DOB7 (instanceRef gram0_4_0_2)))) - (net mdout1_3_7 - (joined - (portRef D3 (instanceRef mux_1)) - (portRef DOB7 (instanceRef gram0_3_0_3)))) - (net mdout1_2_7 - (joined - (portRef D2 (instanceRef mux_1)) - (portRef DOB7 (instanceRef gram0_2_0_4)))) - (net mdout1_1_7 - (joined - (portRef D1 (instanceRef mux_1)) - (portRef DOB7 (instanceRef gram0_1_0_5)))) - (net mdout1_0_7 - (joined - (portRef D0 (instanceRef mux_1)) - (portRef DOB7 (instanceRef gram0_0_0_6)))) - (net addr112_ff2 - (joined - (portRef SD3 (instanceRef mux_0)) - (portRef Q (instanceRef FF_0)) - (portRef SD3 (instanceRef mux_8)) - (portRef SD3 (instanceRef mux_7)) - (portRef SD3 (instanceRef mux_6)) - (portRef SD3 (instanceRef mux_5)) - (portRef SD3 (instanceRef mux_4)) - (portRef SD3 (instanceRef mux_3)) - (portRef SD3 (instanceRef mux_2)) - (portRef SD3 (instanceRef mux_1)))) - (net addr111_ff2 - (joined - (portRef SD2 (instanceRef mux_0)) - (portRef Q (instanceRef FF_1)) - (portRef SD2 (instanceRef mux_8)) - (portRef SD2 (instanceRef mux_7)) - (portRef SD2 (instanceRef mux_6)) - (portRef SD2 (instanceRef mux_5)) - (portRef SD2 (instanceRef mux_4)) - (portRef SD2 (instanceRef mux_3)) - (portRef SD2 (instanceRef mux_2)) - (portRef SD2 (instanceRef mux_1)))) - (net addr110_ff2 - (joined - (portRef SD1 (instanceRef mux_0)) - (portRef Q (instanceRef FF_2)) - (portRef SD1 (instanceRef mux_8)) - (portRef SD1 (instanceRef mux_7)) - (portRef SD1 (instanceRef mux_6)) - (portRef SD1 (instanceRef mux_5)) - (portRef SD1 (instanceRef mux_4)) - (portRef SD1 (instanceRef mux_3)) - (portRef SD1 (instanceRef mux_2)) - (portRef SD1 (instanceRef mux_1)))) - (net scuba_vlo - (joined - (portRef Z (instanceRef scuba_vlo_inst)) - (portRef ADB2 (instanceRef gram0_0_0_6)) - (portRef ADA2 (instanceRef gram0_0_0_6)) - (portRef ADB1 (instanceRef gram0_0_0_6)) - (portRef ADA1 (instanceRef gram0_0_0_6)) - (portRef ADB2 (instanceRef gram0_1_0_5)) - (portRef ADA2 (instanceRef gram0_1_0_5)) - (portRef ADB1 (instanceRef gram0_1_0_5)) - (portRef ADA1 (instanceRef gram0_1_0_5)) - (portRef ADB2 (instanceRef gram0_2_0_4)) - (portRef ADA2 (instanceRef gram0_2_0_4)) - (portRef ADB1 (instanceRef gram0_2_0_4)) - (portRef ADA1 (instanceRef gram0_2_0_4)) - (portRef ADB2 (instanceRef gram0_3_0_3)) - (portRef ADA2 (instanceRef gram0_3_0_3)) - (portRef ADB1 (instanceRef gram0_3_0_3)) - (portRef ADA1 (instanceRef gram0_3_0_3)) - (portRef ADB2 (instanceRef gram0_4_0_2)) - (portRef ADA2 (instanceRef gram0_4_0_2)) - (portRef ADB1 (instanceRef gram0_4_0_2)) - (portRef ADA1 (instanceRef gram0_4_0_2)) - (portRef ADB2 (instanceRef gram0_5_0_1)) - (portRef ADA2 (instanceRef gram0_5_0_1)) - (portRef ADB1 (instanceRef gram0_5_0_1)) - (portRef ADA1 (instanceRef gram0_5_0_1)) - (portRef ADB2 (instanceRef gram0_6_0_0)) - (portRef ADA2 (instanceRef gram0_6_0_0)) - (portRef ADB1 (instanceRef gram0_6_0_0)) - (portRef ADA1 (instanceRef gram0_6_0_0)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_0)) - (portRef D7 (instanceRef mux_17)) - (portRef D7 (instanceRef mux_16)) - (portRef D7 (instanceRef mux_15)) - (portRef D7 (instanceRef mux_14)) - (portRef D7 (instanceRef mux_13)) - (portRef D7 (instanceRef mux_12)) - (portRef D7 (instanceRef mux_11)) - (portRef D7 (instanceRef mux_10)) - (portRef D7 (instanceRef mux_9)) - (portRef D7 (instanceRef mux_8)) - (portRef D7 (instanceRef mux_7)) - (portRef D7 (instanceRef mux_6)) - (portRef D7 (instanceRef mux_5)) - (portRef D7 (instanceRef mux_4)) - (portRef D7 (instanceRef mux_3)) - (portRef D7 (instanceRef mux_2)) - (portRef D7 (instanceRef mux_1)) - (portRef D7 (instanceRef mux_0)))) - (net mdout1_6_8 - (joined - (portRef D6 (instanceRef mux_0)) - (portRef DOB8 (instanceRef gram0_6_0_0)))) - (net mdout1_5_8 - (joined - (portRef D5 (instanceRef mux_0)) - (portRef DOB8 (instanceRef gram0_5_0_1)))) - (net mdout1_4_8 - (joined - (portRef D4 (instanceRef mux_0)) - (portRef DOB8 (instanceRef gram0_4_0_2)))) - (net mdout1_3_8 - (joined - (portRef D3 (instanceRef mux_0)) - (portRef DOB8 (instanceRef gram0_3_0_3)))) - (net mdout1_2_8 - (joined - (portRef D2 (instanceRef mux_0)) - (portRef DOB8 (instanceRef gram0_2_0_4)))) - (net mdout1_1_8 - (joined - (portRef D1 (instanceRef mux_0)) - (portRef DOB8 (instanceRef gram0_1_0_5)))) - (net mdout1_0_8 - (joined - (portRef D0 (instanceRef mux_0)) - (portRef DOB8 (instanceRef gram0_0_0_6)))) - (net dataout18 - (joined - (portRef (member QB 0)) - (portRef Z (instanceRef mux_0)))) - (net dataout17 - (joined - (portRef (member QB 1)) - (portRef Z (instanceRef mux_1)))) - (net dataout16 - (joined - (portRef (member QB 2)) - (portRef Z (instanceRef mux_2)))) - (net dataout15 - (joined - (portRef (member QB 3)) - (portRef Z (instanceRef mux_3)))) - (net dataout14 - (joined - (portRef (member QB 4)) - (portRef Z (instanceRef mux_4)))) - (net dataout13 - (joined - (portRef (member QB 5)) - (portRef Z (instanceRef mux_5)))) - (net dataout12 - (joined - (portRef (member QB 6)) - (portRef Z (instanceRef mux_6)))) - (net dataout11 - (joined - (portRef (member QB 7)) - (portRef Z (instanceRef mux_7)))) - (net dataout10 - (joined - (portRef (member QB 8)) - (portRef Z (instanceRef mux_8)))) - (net dataout08 - (joined - (portRef (member QA 0)) - (portRef Z (instanceRef mux_9)))) - (net dataout07 - (joined - (portRef (member QA 1)) - (portRef Z (instanceRef mux_10)))) - (net dataout06 - (joined - (portRef (member QA 2)) - (portRef Z (instanceRef mux_11)))) - (net dataout05 - (joined - (portRef (member QA 3)) - (portRef Z (instanceRef mux_12)))) - (net dataout04 - (joined - (portRef (member QA 4)) - (portRef Z (instanceRef mux_13)))) - (net dataout03 - (joined - (portRef (member QA 5)) - (portRef Z (instanceRef mux_14)))) - (net dataout02 - (joined - (portRef (member QA 6)) - (portRef Z (instanceRef mux_15)))) - (net dataout01 - (joined - (portRef (member QA 7)) - (portRef Z (instanceRef mux_16)))) - (net dataout00 - (joined - (portRef (member QA 8)) - (portRef Z (instanceRef mux_17)))) - (net ResetB - (joined - (portRef ResetB) - (portRef RSTB (instanceRef gram0_0_0_6)) - (portRef RSTB (instanceRef gram0_1_0_5)) - (portRef RSTB (instanceRef gram0_2_0_4)) - (portRef RSTB (instanceRef gram0_3_0_3)) - (portRef RSTB (instanceRef gram0_4_0_2)) - (portRef RSTB (instanceRef gram0_5_0_1)) - (portRef RSTB (instanceRef gram0_6_0_0)))) - (net ResetA - (joined - (portRef ResetA) - (portRef RSTA (instanceRef gram0_0_0_6)) - (portRef RSTA (instanceRef gram0_1_0_5)) - (portRef RSTA (instanceRef gram0_2_0_4)) - (portRef RSTA (instanceRef gram0_3_0_3)) - (portRef RSTA (instanceRef gram0_4_0_2)) - (portRef RSTA (instanceRef gram0_5_0_1)) - (portRef RSTA (instanceRef gram0_6_0_0)))) - (net wren1 - (joined - (portRef WrB) - (portRef A (instanceRef INV_0)) - (portRef WEB (instanceRef gram0_0_0_6)) - (portRef WEB (instanceRef gram0_1_0_5)) - (portRef WEB (instanceRef gram0_2_0_4)) - (portRef WEB (instanceRef gram0_3_0_3)) - (portRef WEB (instanceRef gram0_4_0_2)) - (portRef WEB (instanceRef gram0_5_0_1)) - (portRef WEB (instanceRef gram0_6_0_0)))) - (net wren0 - (joined - (portRef WrA) - (portRef A (instanceRef INV_1)) - (portRef WEA (instanceRef gram0_0_0_6)) - (portRef WEA (instanceRef gram0_1_0_5)) - (portRef WEA (instanceRef gram0_2_0_4)) - (portRef WEA (instanceRef gram0_3_0_3)) - (portRef WEA (instanceRef gram0_4_0_2)) - (portRef WEA (instanceRef gram0_5_0_1)) - (portRef WEA (instanceRef gram0_6_0_0)))) - (net ClockEnB - (joined - (portRef ClockEnB) - (portRef B (instanceRef AND2_t0)) - (portRef OCEB (instanceRef gram0_0_0_6)) - (portRef CEB (instanceRef gram0_0_0_6)) - (portRef OCEB (instanceRef gram0_1_0_5)) - (portRef CEB (instanceRef gram0_1_0_5)) - (portRef OCEB (instanceRef gram0_2_0_4)) - (portRef CEB (instanceRef gram0_2_0_4)) - (portRef OCEB (instanceRef gram0_3_0_3)) - (portRef CEB (instanceRef gram0_3_0_3)) - (portRef OCEB (instanceRef gram0_4_0_2)) - (portRef CEB (instanceRef gram0_4_0_2)) - (portRef OCEB (instanceRef gram0_5_0_1)) - (portRef CEB (instanceRef gram0_5_0_1)) - (portRef OCEB (instanceRef gram0_6_0_0)) - (portRef CEB (instanceRef gram0_6_0_0)) - (portRef SP (instanceRef FF_2)) - (portRef SP (instanceRef FF_1)) - (portRef SP (instanceRef FF_0)))) - (net ClockEnA - (joined - (portRef ClockEnA) - (portRef B (instanceRef AND2_t1)) - (portRef OCEA (instanceRef gram0_0_0_6)) - (portRef CEA (instanceRef gram0_0_0_6)) - (portRef OCEA (instanceRef gram0_1_0_5)) - (portRef CEA (instanceRef gram0_1_0_5)) - (portRef OCEA (instanceRef gram0_2_0_4)) - (portRef CEA (instanceRef gram0_2_0_4)) - (portRef OCEA (instanceRef gram0_3_0_3)) - (portRef CEA (instanceRef gram0_3_0_3)) - (portRef OCEA (instanceRef gram0_4_0_2)) - (portRef CEA (instanceRef gram0_4_0_2)) - (portRef OCEA (instanceRef gram0_5_0_1)) - (portRef CEA (instanceRef gram0_5_0_1)) - (portRef OCEA (instanceRef gram0_6_0_0)) - (portRef CEA (instanceRef gram0_6_0_0)) - (portRef SP (instanceRef FF_8)) - (portRef SP (instanceRef FF_7)) - (portRef SP (instanceRef FF_6)))) - (net clk1 - (joined - (portRef ClockB) - (portRef CLKB (instanceRef gram0_0_0_6)) - (portRef CLKB (instanceRef gram0_1_0_5)) - (portRef CLKB (instanceRef gram0_2_0_4)) - (portRef CLKB (instanceRef gram0_3_0_3)) - (portRef CLKB (instanceRef gram0_4_0_2)) - (portRef CLKB (instanceRef gram0_5_0_1)) - (portRef CLKB (instanceRef gram0_6_0_0)) - (portRef CK (instanceRef FF_5)) - (portRef CK (instanceRef FF_4)) - (portRef CK (instanceRef FF_3)) - (portRef CK (instanceRef FF_2)) - (portRef CK (instanceRef FF_1)) - (portRef CK (instanceRef FF_0)))) - (net clk0 - (joined - (portRef ClockA) - (portRef CLKA (instanceRef gram0_0_0_6)) - (portRef CLKA (instanceRef gram0_1_0_5)) - (portRef CLKA (instanceRef gram0_2_0_4)) - (portRef CLKA (instanceRef gram0_3_0_3)) - (portRef CLKA (instanceRef gram0_4_0_2)) - (portRef CLKA (instanceRef gram0_5_0_1)) - (portRef CLKA (instanceRef gram0_6_0_0)) - (portRef CK (instanceRef FF_11)) - (portRef CK (instanceRef FF_10)) - (portRef CK (instanceRef FF_9)) - (portRef CK (instanceRef FF_8)) - (portRef CK (instanceRef FF_7)) - (portRef CK (instanceRef FF_6)))) - (net addr112 - (joined - (portRef (member AddressB 0)) - (portRef CSB2 (instanceRef gram0_0_0_6)) - (portRef CSB2 (instanceRef gram0_1_0_5)) - (portRef CSB2 (instanceRef gram0_2_0_4)) - (portRef CSB2 (instanceRef gram0_3_0_3)) - (portRef CSB2 (instanceRef gram0_4_0_2)) - (portRef CSB2 (instanceRef gram0_5_0_1)) - (portRef CSB2 (instanceRef gram0_6_0_0)) - (portRef D (instanceRef FF_3)))) - (net addr111 - (joined - (portRef (member AddressB 1)) - (portRef CSB1 (instanceRef gram0_0_0_6)) - (portRef CSB1 (instanceRef gram0_1_0_5)) - (portRef CSB1 (instanceRef gram0_2_0_4)) - (portRef CSB1 (instanceRef gram0_3_0_3)) - (portRef CSB1 (instanceRef gram0_4_0_2)) - (portRef CSB1 (instanceRef gram0_5_0_1)) - (portRef CSB1 (instanceRef gram0_6_0_0)) - (portRef D (instanceRef FF_4)))) - (net addr110 - (joined - (portRef (member AddressB 2)) - (portRef CSB0 (instanceRef gram0_0_0_6)) - (portRef CSB0 (instanceRef gram0_1_0_5)) - (portRef CSB0 (instanceRef gram0_2_0_4)) - (portRef CSB0 (instanceRef gram0_3_0_3)) - (portRef CSB0 (instanceRef gram0_4_0_2)) - (portRef CSB0 (instanceRef gram0_5_0_1)) - (portRef CSB0 (instanceRef gram0_6_0_0)) - (portRef D (instanceRef FF_5)))) - (net addr19 - (joined - (portRef (member AddressB 3)) - (portRef ADB12 (instanceRef gram0_0_0_6)) - (portRef ADB12 (instanceRef gram0_1_0_5)) - (portRef ADB12 (instanceRef gram0_2_0_4)) - (portRef ADB12 (instanceRef gram0_3_0_3)) - (portRef ADB12 (instanceRef gram0_4_0_2)) - (portRef ADB12 (instanceRef gram0_5_0_1)) - (portRef ADB12 (instanceRef gram0_6_0_0)))) - (net addr18 - (joined - (portRef (member AddressB 4)) - (portRef ADB11 (instanceRef gram0_0_0_6)) - (portRef ADB11 (instanceRef gram0_1_0_5)) - (portRef ADB11 (instanceRef gram0_2_0_4)) - (portRef ADB11 (instanceRef gram0_3_0_3)) - (portRef ADB11 (instanceRef gram0_4_0_2)) - (portRef ADB11 (instanceRef gram0_5_0_1)) - (portRef ADB11 (instanceRef gram0_6_0_0)))) - (net addr17 - (joined - (portRef (member AddressB 5)) - (portRef ADB10 (instanceRef gram0_0_0_6)) - (portRef ADB10 (instanceRef gram0_1_0_5)) - (portRef ADB10 (instanceRef gram0_2_0_4)) - (portRef ADB10 (instanceRef gram0_3_0_3)) - (portRef ADB10 (instanceRef gram0_4_0_2)) - (portRef ADB10 (instanceRef gram0_5_0_1)) - (portRef ADB10 (instanceRef gram0_6_0_0)))) - (net addr16 - (joined - (portRef (member AddressB 6)) - (portRef ADB9 (instanceRef gram0_0_0_6)) - (portRef ADB9 (instanceRef gram0_1_0_5)) - (portRef ADB9 (instanceRef gram0_2_0_4)) - (portRef ADB9 (instanceRef gram0_3_0_3)) - (portRef ADB9 (instanceRef gram0_4_0_2)) - (portRef ADB9 (instanceRef gram0_5_0_1)) - (portRef ADB9 (instanceRef gram0_6_0_0)))) - (net addr15 - (joined - (portRef (member AddressB 7)) - (portRef ADB8 (instanceRef gram0_0_0_6)) - (portRef ADB8 (instanceRef gram0_1_0_5)) - (portRef ADB8 (instanceRef gram0_2_0_4)) - (portRef ADB8 (instanceRef gram0_3_0_3)) - (portRef ADB8 (instanceRef gram0_4_0_2)) - (portRef ADB8 (instanceRef gram0_5_0_1)) - (portRef ADB8 (instanceRef gram0_6_0_0)))) - (net addr14 - (joined - (portRef (member AddressB 8)) - (portRef ADB7 (instanceRef gram0_0_0_6)) - (portRef ADB7 (instanceRef gram0_1_0_5)) - (portRef ADB7 (instanceRef gram0_2_0_4)) - (portRef ADB7 (instanceRef gram0_3_0_3)) - (portRef ADB7 (instanceRef gram0_4_0_2)) - (portRef ADB7 (instanceRef gram0_5_0_1)) - (portRef ADB7 (instanceRef gram0_6_0_0)))) - (net addr13 - (joined - (portRef (member AddressB 9)) - (portRef ADB6 (instanceRef gram0_0_0_6)) - (portRef ADB6 (instanceRef gram0_1_0_5)) - (portRef ADB6 (instanceRef gram0_2_0_4)) - (portRef ADB6 (instanceRef gram0_3_0_3)) - (portRef ADB6 (instanceRef gram0_4_0_2)) - (portRef ADB6 (instanceRef gram0_5_0_1)) - (portRef ADB6 (instanceRef gram0_6_0_0)))) - (net addr12 - (joined - (portRef (member AddressB 10)) - (portRef ADB5 (instanceRef gram0_0_0_6)) - (portRef ADB5 (instanceRef gram0_1_0_5)) - (portRef ADB5 (instanceRef gram0_2_0_4)) - (portRef ADB5 (instanceRef gram0_3_0_3)) - (portRef ADB5 (instanceRef gram0_4_0_2)) - (portRef ADB5 (instanceRef gram0_5_0_1)) - (portRef ADB5 (instanceRef gram0_6_0_0)))) - (net addr11 - (joined - (portRef (member AddressB 11)) - (portRef ADB4 (instanceRef gram0_0_0_6)) - (portRef ADB4 (instanceRef gram0_1_0_5)) - (portRef ADB4 (instanceRef gram0_2_0_4)) - (portRef ADB4 (instanceRef gram0_3_0_3)) - (portRef ADB4 (instanceRef gram0_4_0_2)) - (portRef ADB4 (instanceRef gram0_5_0_1)) - (portRef ADB4 (instanceRef gram0_6_0_0)))) - (net addr10 - (joined - (portRef (member AddressB 12)) - (portRef ADB3 (instanceRef gram0_0_0_6)) - (portRef ADB3 (instanceRef gram0_1_0_5)) - (portRef ADB3 (instanceRef gram0_2_0_4)) - (portRef ADB3 (instanceRef gram0_3_0_3)) - (portRef ADB3 (instanceRef gram0_4_0_2)) - (portRef ADB3 (instanceRef gram0_5_0_1)) - (portRef ADB3 (instanceRef gram0_6_0_0)))) - (net addr012 - (joined - (portRef (member AddressA 0)) - (portRef CSA2 (instanceRef gram0_0_0_6)) - (portRef CSA2 (instanceRef gram0_1_0_5)) - (portRef CSA2 (instanceRef gram0_2_0_4)) - (portRef CSA2 (instanceRef gram0_3_0_3)) - (portRef CSA2 (instanceRef gram0_4_0_2)) - (portRef CSA2 (instanceRef gram0_5_0_1)) - (portRef CSA2 (instanceRef gram0_6_0_0)) - (portRef D (instanceRef FF_9)))) - (net addr011 - (joined - (portRef (member AddressA 1)) - (portRef CSA1 (instanceRef gram0_0_0_6)) - (portRef CSA1 (instanceRef gram0_1_0_5)) - (portRef CSA1 (instanceRef gram0_2_0_4)) - (portRef CSA1 (instanceRef gram0_3_0_3)) - (portRef CSA1 (instanceRef gram0_4_0_2)) - (portRef CSA1 (instanceRef gram0_5_0_1)) - (portRef CSA1 (instanceRef gram0_6_0_0)) - (portRef D (instanceRef FF_10)))) - (net addr010 - (joined - (portRef (member AddressA 2)) - (portRef CSA0 (instanceRef gram0_0_0_6)) - (portRef CSA0 (instanceRef gram0_1_0_5)) - (portRef CSA0 (instanceRef gram0_2_0_4)) - (portRef CSA0 (instanceRef gram0_3_0_3)) - (portRef CSA0 (instanceRef gram0_4_0_2)) - (portRef CSA0 (instanceRef gram0_5_0_1)) - (portRef CSA0 (instanceRef gram0_6_0_0)) - (portRef D (instanceRef FF_11)))) - (net addr09 - (joined - (portRef (member AddressA 3)) - (portRef ADA12 (instanceRef gram0_0_0_6)) - (portRef ADA12 (instanceRef gram0_1_0_5)) - (portRef ADA12 (instanceRef gram0_2_0_4)) - (portRef ADA12 (instanceRef gram0_3_0_3)) - (portRef ADA12 (instanceRef gram0_4_0_2)) - (portRef ADA12 (instanceRef gram0_5_0_1)) - (portRef ADA12 (instanceRef gram0_6_0_0)))) - (net addr08 - (joined - (portRef (member AddressA 4)) - (portRef ADA11 (instanceRef gram0_0_0_6)) - (portRef ADA11 (instanceRef gram0_1_0_5)) - (portRef ADA11 (instanceRef gram0_2_0_4)) - (portRef ADA11 (instanceRef gram0_3_0_3)) - (portRef ADA11 (instanceRef gram0_4_0_2)) - (portRef ADA11 (instanceRef gram0_5_0_1)) - (portRef ADA11 (instanceRef gram0_6_0_0)))) - (net addr07 - (joined - (portRef (member AddressA 5)) - (portRef ADA10 (instanceRef gram0_0_0_6)) - (portRef ADA10 (instanceRef gram0_1_0_5)) - (portRef ADA10 (instanceRef gram0_2_0_4)) - (portRef ADA10 (instanceRef gram0_3_0_3)) - (portRef ADA10 (instanceRef gram0_4_0_2)) - (portRef ADA10 (instanceRef gram0_5_0_1)) - (portRef ADA10 (instanceRef gram0_6_0_0)))) - (net addr06 - (joined - (portRef (member AddressA 6)) - (portRef ADA9 (instanceRef gram0_0_0_6)) - (portRef ADA9 (instanceRef gram0_1_0_5)) - (portRef ADA9 (instanceRef gram0_2_0_4)) - (portRef ADA9 (instanceRef gram0_3_0_3)) - (portRef ADA9 (instanceRef gram0_4_0_2)) - (portRef ADA9 (instanceRef gram0_5_0_1)) - (portRef ADA9 (instanceRef gram0_6_0_0)))) - (net addr05 - (joined - (portRef (member AddressA 7)) - (portRef ADA8 (instanceRef gram0_0_0_6)) - (portRef ADA8 (instanceRef gram0_1_0_5)) - (portRef ADA8 (instanceRef gram0_2_0_4)) - (portRef ADA8 (instanceRef gram0_3_0_3)) - (portRef ADA8 (instanceRef gram0_4_0_2)) - (portRef ADA8 (instanceRef gram0_5_0_1)) - (portRef ADA8 (instanceRef gram0_6_0_0)))) - (net addr04 - (joined - (portRef (member AddressA 8)) - (portRef ADA7 (instanceRef gram0_0_0_6)) - (portRef ADA7 (instanceRef gram0_1_0_5)) - (portRef ADA7 (instanceRef gram0_2_0_4)) - (portRef ADA7 (instanceRef gram0_3_0_3)) - (portRef ADA7 (instanceRef gram0_4_0_2)) - (portRef ADA7 (instanceRef gram0_5_0_1)) - (portRef ADA7 (instanceRef gram0_6_0_0)))) - (net addr03 - (joined - (portRef (member AddressA 9)) - (portRef ADA6 (instanceRef gram0_0_0_6)) - (portRef ADA6 (instanceRef gram0_1_0_5)) - (portRef ADA6 (instanceRef gram0_2_0_4)) - (portRef ADA6 (instanceRef gram0_3_0_3)) - (portRef ADA6 (instanceRef gram0_4_0_2)) - (portRef ADA6 (instanceRef gram0_5_0_1)) - (portRef ADA6 (instanceRef gram0_6_0_0)))) - (net addr02 - (joined - (portRef (member AddressA 10)) - (portRef ADA5 (instanceRef gram0_0_0_6)) - (portRef ADA5 (instanceRef gram0_1_0_5)) - (portRef ADA5 (instanceRef gram0_2_0_4)) - (portRef ADA5 (instanceRef gram0_3_0_3)) - (portRef ADA5 (instanceRef gram0_4_0_2)) - (portRef ADA5 (instanceRef gram0_5_0_1)) - (portRef ADA5 (instanceRef gram0_6_0_0)))) - (net addr01 - (joined - (portRef (member AddressA 11)) - (portRef ADA4 (instanceRef gram0_0_0_6)) - (portRef ADA4 (instanceRef gram0_1_0_5)) - (portRef ADA4 (instanceRef gram0_2_0_4)) - (portRef ADA4 (instanceRef gram0_3_0_3)) - (portRef ADA4 (instanceRef gram0_4_0_2)) - (portRef ADA4 (instanceRef gram0_5_0_1)) - (portRef ADA4 (instanceRef gram0_6_0_0)))) - (net addr00 - (joined - (portRef (member AddressA 12)) - (portRef ADA3 (instanceRef gram0_0_0_6)) - (portRef ADA3 (instanceRef gram0_1_0_5)) - (portRef ADA3 (instanceRef gram0_2_0_4)) - (portRef ADA3 (instanceRef gram0_3_0_3)) - (portRef ADA3 (instanceRef gram0_4_0_2)) - (portRef ADA3 (instanceRef gram0_5_0_1)) - (portRef ADA3 (instanceRef gram0_6_0_0)))) - (net datain18 - (joined - (portRef (member DataInB 0)) - (portRef DIB8 (instanceRef gram0_0_0_6)) - (portRef DIB8 (instanceRef gram0_1_0_5)) - (portRef DIB8 (instanceRef gram0_2_0_4)) - (portRef DIB8 (instanceRef gram0_3_0_3)) - (portRef DIB8 (instanceRef gram0_4_0_2)) - (portRef DIB8 (instanceRef gram0_5_0_1)) - (portRef DIB8 (instanceRef gram0_6_0_0)))) - (net datain17 - (joined - (portRef (member DataInB 1)) - (portRef DIB7 (instanceRef gram0_0_0_6)) - (portRef DIB7 (instanceRef gram0_1_0_5)) - (portRef DIB7 (instanceRef gram0_2_0_4)) - (portRef DIB7 (instanceRef gram0_3_0_3)) - (portRef DIB7 (instanceRef gram0_4_0_2)) - (portRef DIB7 (instanceRef gram0_5_0_1)) - (portRef DIB7 (instanceRef gram0_6_0_0)))) - (net datain16 - (joined - (portRef (member DataInB 2)) - (portRef DIB6 (instanceRef gram0_0_0_6)) - (portRef DIB6 (instanceRef gram0_1_0_5)) - (portRef DIB6 (instanceRef gram0_2_0_4)) - (portRef DIB6 (instanceRef gram0_3_0_3)) - (portRef DIB6 (instanceRef gram0_4_0_2)) - (portRef DIB6 (instanceRef gram0_5_0_1)) - (portRef DIB6 (instanceRef gram0_6_0_0)))) - (net datain15 - (joined - (portRef (member DataInB 3)) - (portRef DIB5 (instanceRef gram0_0_0_6)) - (portRef DIB5 (instanceRef gram0_1_0_5)) - (portRef DIB5 (instanceRef gram0_2_0_4)) - (portRef DIB5 (instanceRef gram0_3_0_3)) - (portRef DIB5 (instanceRef gram0_4_0_2)) - (portRef DIB5 (instanceRef gram0_5_0_1)) - (portRef DIB5 (instanceRef gram0_6_0_0)))) - (net datain14 - (joined - (portRef (member DataInB 4)) - (portRef DIB4 (instanceRef gram0_0_0_6)) - (portRef DIB4 (instanceRef gram0_1_0_5)) - (portRef DIB4 (instanceRef gram0_2_0_4)) - (portRef DIB4 (instanceRef gram0_3_0_3)) - (portRef DIB4 (instanceRef gram0_4_0_2)) - (portRef DIB4 (instanceRef gram0_5_0_1)) - (portRef DIB4 (instanceRef gram0_6_0_0)))) - (net datain13 - (joined - (portRef (member DataInB 5)) - (portRef DIB3 (instanceRef gram0_0_0_6)) - (portRef DIB3 (instanceRef gram0_1_0_5)) - (portRef DIB3 (instanceRef gram0_2_0_4)) - (portRef DIB3 (instanceRef gram0_3_0_3)) - (portRef DIB3 (instanceRef gram0_4_0_2)) - (portRef DIB3 (instanceRef gram0_5_0_1)) - (portRef DIB3 (instanceRef gram0_6_0_0)))) - (net datain12 - (joined - (portRef (member DataInB 6)) - (portRef DIB2 (instanceRef gram0_0_0_6)) - (portRef DIB2 (instanceRef gram0_1_0_5)) - (portRef DIB2 (instanceRef gram0_2_0_4)) - (portRef DIB2 (instanceRef gram0_3_0_3)) - (portRef DIB2 (instanceRef gram0_4_0_2)) - (portRef DIB2 (instanceRef gram0_5_0_1)) - (portRef DIB2 (instanceRef gram0_6_0_0)))) - (net datain11 - (joined - (portRef (member DataInB 7)) - (portRef DIB1 (instanceRef gram0_0_0_6)) - (portRef DIB1 (instanceRef gram0_1_0_5)) - (portRef DIB1 (instanceRef gram0_2_0_4)) - (portRef DIB1 (instanceRef gram0_3_0_3)) - (portRef DIB1 (instanceRef gram0_4_0_2)) - (portRef DIB1 (instanceRef gram0_5_0_1)) - (portRef DIB1 (instanceRef gram0_6_0_0)))) - (net datain10 - (joined - (portRef (member DataInB 8)) - (portRef DIB0 (instanceRef gram0_0_0_6)) - (portRef DIB0 (instanceRef gram0_1_0_5)) - (portRef DIB0 (instanceRef gram0_2_0_4)) - (portRef DIB0 (instanceRef gram0_3_0_3)) - (portRef DIB0 (instanceRef gram0_4_0_2)) - (portRef DIB0 (instanceRef gram0_5_0_1)) - (portRef DIB0 (instanceRef gram0_6_0_0)))) - (net datain08 - (joined - (portRef (member DataInA 0)) - (portRef DIA8 (instanceRef gram0_0_0_6)) - (portRef DIA8 (instanceRef gram0_1_0_5)) - (portRef DIA8 (instanceRef gram0_2_0_4)) - (portRef DIA8 (instanceRef gram0_3_0_3)) - (portRef DIA8 (instanceRef gram0_4_0_2)) - (portRef DIA8 (instanceRef gram0_5_0_1)) - (portRef DIA8 (instanceRef gram0_6_0_0)))) - (net datain07 - (joined - (portRef (member DataInA 1)) - (portRef DIA7 (instanceRef gram0_0_0_6)) - (portRef DIA7 (instanceRef gram0_1_0_5)) - (portRef DIA7 (instanceRef gram0_2_0_4)) - (portRef DIA7 (instanceRef gram0_3_0_3)) - (portRef DIA7 (instanceRef gram0_4_0_2)) - (portRef DIA7 (instanceRef gram0_5_0_1)) - (portRef DIA7 (instanceRef gram0_6_0_0)))) - (net datain06 - (joined - (portRef (member DataInA 2)) - (portRef DIA6 (instanceRef gram0_0_0_6)) - (portRef DIA6 (instanceRef gram0_1_0_5)) - (portRef DIA6 (instanceRef gram0_2_0_4)) - (portRef DIA6 (instanceRef gram0_3_0_3)) - (portRef DIA6 (instanceRef gram0_4_0_2)) - (portRef DIA6 (instanceRef gram0_5_0_1)) - (portRef DIA6 (instanceRef gram0_6_0_0)))) - (net datain05 - (joined - (portRef (member DataInA 3)) - (portRef DIA5 (instanceRef gram0_0_0_6)) - (portRef DIA5 (instanceRef gram0_1_0_5)) - (portRef DIA5 (instanceRef gram0_2_0_4)) - (portRef DIA5 (instanceRef gram0_3_0_3)) - (portRef DIA5 (instanceRef gram0_4_0_2)) - (portRef DIA5 (instanceRef gram0_5_0_1)) - (portRef DIA5 (instanceRef gram0_6_0_0)))) - (net datain04 - (joined - (portRef (member DataInA 4)) - (portRef DIA4 (instanceRef gram0_0_0_6)) - (portRef DIA4 (instanceRef gram0_1_0_5)) - (portRef DIA4 (instanceRef gram0_2_0_4)) - (portRef DIA4 (instanceRef gram0_3_0_3)) - (portRef DIA4 (instanceRef gram0_4_0_2)) - (portRef DIA4 (instanceRef gram0_5_0_1)) - (portRef DIA4 (instanceRef gram0_6_0_0)))) - (net datain03 - (joined - (portRef (member DataInA 5)) - (portRef DIA3 (instanceRef gram0_0_0_6)) - (portRef DIA3 (instanceRef gram0_1_0_5)) - (portRef DIA3 (instanceRef gram0_2_0_4)) - (portRef DIA3 (instanceRef gram0_3_0_3)) - (portRef DIA3 (instanceRef gram0_4_0_2)) - (portRef DIA3 (instanceRef gram0_5_0_1)) - (portRef DIA3 (instanceRef gram0_6_0_0)))) - (net datain02 - (joined - (portRef (member DataInA 6)) - (portRef DIA2 (instanceRef gram0_0_0_6)) - (portRef DIA2 (instanceRef gram0_1_0_5)) - (portRef DIA2 (instanceRef gram0_2_0_4)) - (portRef DIA2 (instanceRef gram0_3_0_3)) - (portRef DIA2 (instanceRef gram0_4_0_2)) - (portRef DIA2 (instanceRef gram0_5_0_1)) - (portRef DIA2 (instanceRef gram0_6_0_0)))) - (net datain01 - (joined - (portRef (member DataInA 7)) - (portRef DIA1 (instanceRef gram0_0_0_6)) - (portRef DIA1 (instanceRef gram0_1_0_5)) - (portRef DIA1 (instanceRef gram0_2_0_4)) - (portRef DIA1 (instanceRef gram0_3_0_3)) - (portRef DIA1 (instanceRef gram0_4_0_2)) - (portRef DIA1 (instanceRef gram0_5_0_1)) - (portRef DIA1 (instanceRef gram0_6_0_0)))) - (net datain00 - (joined - (portRef (member DataInA 8)) - (portRef DIA0 (instanceRef gram0_0_0_6)) - (portRef DIA0 (instanceRef gram0_1_0_5)) - (portRef DIA0 (instanceRef gram0_2_0_4)) - (portRef DIA0 (instanceRef gram0_3_0_3)) - (portRef DIA0 (instanceRef gram0_4_0_2)) - (portRef DIA0 (instanceRef gram0_5_0_1)) - (portRef DIA0 (instanceRef gram0_6_0_0)))))))) - (design gram0 - (cellRef gram0 - (libraryRef ORCLIB))) -) diff --git a/display/fpga/ip/gram0/gram0.ipx b/display/fpga/ip/gram0/gram0.ipx index cd4a876..eac869e 100644 --- a/display/fpga/ip/gram0/gram0.ipx +++ b/display/fpga/ip/gram0/gram0.ipx @@ -1,10 +1,10 @@ - + - - - - - + + + + + diff --git a/display/fpga/ip/gram0/gram0.lpc b/display/fpga/ip/gram0/gram0.lpc index b78364e..ddf9776 100644 --- a/display/fpga/ip/gram0/gram0.lpc +++ b/display/fpga/ip/gram0/gram0.lpc @@ -16,8 +16,8 @@ CoreRevision=7.5 ModuleName=gram0 SourceFormat=VHDL ParameterFileVersion=1.0 -Date=04/22/2018 -Time=17:34:06 +Date=05/10/2020 +Time=13:18:44 [Parameters] Verilog=0 @@ -41,7 +41,7 @@ Optimization=Speed Reset=Sync Reset1=Sync Init=mem -MemFile=/home/markus/projects/workspaceSigasi/lmg6202/bin/gram_init.mem +MemFile=/home/markus/projects/cross-discipline/lw35-upgrade/display/tools/splash.mem MemFormat=bin EnECC=0 Pipeline=0 @@ -50,7 +50,7 @@ WriteB=Normal init_data=0 [FilesGenerated] -/home/markus/projects/workspaceSigasi/lmg6202/bin/gram_init.mem=mem +/home/markus/projects/cross-discipline/lw35-upgrade/display/tools/splash.mem=mem [Command] -cmd_line= -w -n gram0 -lang vhdl -synth synplify -bus_exp 7 -bb -arch xo2c00 -type ramdp -device LCMXO2-1200HC -aaddr_width 13 -widtha 9 -baddr_width 13 -widthb 9 -anum_words 7000 -bnum_words 7000 -outdataA REGISTERED -outdataB REGISTERED -cascade -1 -resetmode SYNC -sync_reset -memfile /home/markus/projects/workspaceSigasi/lmg6202/bin/gram_init.mem -memformat bin -writemodeA NORMAL -writemodeB NORMAL +cmd_line= -w -n gram0 -lang vhdl -synth synplify -bus_exp 7 -bb -arch xo2c00 -type ramdp -device LCMXO2-1200HC -aaddr_width 13 -widtha 9 -baddr_width 13 -widthb 9 -anum_words 7000 -bnum_words 7000 -outdataA REGISTERED -outdataB REGISTERED -cascade -1 -resetmode SYNC -sync_reset -memfile /home/markus/projects/cross-discipline/lw35-upgrade/display/tools/splash.mem -memformat bin -writemodeA NORMAL -writemodeB NORMAL diff --git a/display/fpga/ip/gram0/gram0.srp b/display/fpga/ip/gram0/gram0.srp index 54cb630..b9bb359 100644 --- a/display/fpga/ip/gram0/gram0.srp +++ b/display/fpga/ip/gram0/gram0.srp @@ -1,5 +1,5 @@ SCUBA, Version Diamond (64-bit) 3.10.0.111.2 -Sun Apr 22 17:34:06 2018 +Sun May 10 13:18:44 2020 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -7,7 +7,7 @@ Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2017 Lattice Semiconductor Corporation, All rights reserved. - Issued command : /usr/local/diamond/3.10_x64/ispfpga/bin/lin64/scuba -w -n gram0 -lang vhdl -synth synplify -bus_exp 7 -bb -arch xo2c00 -type ramdp -device LCMXO2-1200HC -aaddr_width 13 -widtha 9 -baddr_width 13 -widthb 9 -anum_words 7000 -bnum_words 7000 -outdataA REGISTERED -outdataB REGISTERED -cascade -1 -resetmode SYNC -sync_reset -memfile /home/markus/projects/workspaceSigasi/lmg6202/bin/gram_init.mem -memformat bin -writemodeA NORMAL -writemodeB NORMAL + Issued command : /usr/local/diamond/3.10_x64/ispfpga/bin/lin64/scuba -w -n gram0 -lang vhdl -synth synplify -bus_exp 7 -bb -arch xo2c00 -type ramdp -device LCMXO2-1200HC -aaddr_width 13 -widtha 9 -baddr_width 13 -widthb 9 -anum_words 7000 -bnum_words 7000 -outdataA REGISTERED -outdataB REGISTERED -cascade -1 -resetmode SYNC -sync_reset -memfile /home/markus/projects/cross-discipline/lw35-upgrade/display/tools/splash.mem -memformat bin -writemodeA NORMAL -writemodeB NORMAL Circuit name : gram0 Module type : RAM_DP_TRUE Module Version : 7.5 @@ -15,7 +15,7 @@ Copyright (c) 2002-2017 Lattice Semiconductor Corporation, All rights reserved. Inputs : DataInA[8:0], DataInB[8:0], AddressA[12:0], AddressB[12:0], ClockA, ClockB, ClockEnA, ClockEnB, WrA, WrB, ResetA, ResetB Outputs : QA[8:0], QB[8:0] I/O buffer : not inserted - Memory file : /home/markus/projects/workspaceSigasi/lmg6202/bin/gram_init.mem + Memory file : /home/markus/projects/cross-discipline/lw35-upgrade/display/tools/splash.mem EDIF output : gram0.edn VHDL output : gram0.vhd VHDL template : gram0_tmpl.vhd diff --git a/display/fpga/ip/gram0/gram0.vhd b/display/fpga/ip/gram0/gram0.vhd index 57bdbed..06f1865 100644 --- a/display/fpga/ip/gram0/gram0.vhd +++ b/display/fpga/ip/gram0/gram0.vhd @@ -1,8 +1,8 @@ -- VHDL netlist generated by SCUBA Diamond (64-bit) 3.10.0.111.2 -- Module Version: 7.5 ---/usr/local/diamond/3.10_x64/ispfpga/bin/lin64/scuba -w -n gram0 -lang vhdl -synth synplify -bus_exp 7 -bb -arch xo2c00 -type bram -wp 11 -rp 1010 -data_width 9 -rdata_width 9 -num_rows 7000 -outdataA REGISTERED -outdataB REGISTERED -cascade -1 -resetmode SYNC -sync_reset -memfile /home/markus/projects/workspaceSigasi/lmg6202/bin/gram_init.mem -memformat bin -writemodeA NORMAL -writemodeB NORMAL +--/usr/local/diamond/3.10_x64/ispfpga/bin/lin64/scuba -w -n gram0 -lang vhdl -synth synplify -bus_exp 7 -bb -arch xo2c00 -type bram -wp 11 -rp 1010 -data_width 9 -rdata_width 9 -num_rows 7000 -outdataA REGISTERED -outdataB REGISTERED -cascade -1 -resetmode SYNC -sync_reset -memfile /home/markus/projects/cross-discipline/lw35-upgrade/display/tools/splash.mem -memformat bin -writemodeA NORMAL -writemodeB NORMAL --- Sun Apr 22 17:34:06 2018 +-- Sun May 10 13:18:44 2020 library IEEE; use IEEE.std_logic_1164.all; @@ -265,19 +265,19 @@ architecture Structure of gram0 is attribute MEM_INIT_FILE : string; attribute GSR : string; attribute MEM_LPC_FILE of gram0_0_0_6 : label is "gram0.lpc"; - attribute MEM_INIT_FILE of gram0_0_0_6 : label is "gram_init.mem"; + attribute MEM_INIT_FILE of gram0_0_0_6 : label is "splash.mem"; attribute MEM_LPC_FILE of gram0_1_0_5 : label is "gram0.lpc"; - attribute MEM_INIT_FILE of gram0_1_0_5 : label is "gram_init.mem"; + attribute MEM_INIT_FILE of gram0_1_0_5 : label is "splash.mem"; attribute MEM_LPC_FILE of gram0_2_0_4 : label is "gram0.lpc"; - attribute MEM_INIT_FILE of gram0_2_0_4 : label is "gram_init.mem"; + attribute MEM_INIT_FILE of gram0_2_0_4 : label is "splash.mem"; attribute MEM_LPC_FILE of gram0_3_0_3 : label is "gram0.lpc"; - attribute MEM_INIT_FILE of gram0_3_0_3 : label is "gram_init.mem"; + attribute MEM_INIT_FILE of gram0_3_0_3 : label is "splash.mem"; attribute MEM_LPC_FILE of gram0_4_0_2 : label is "gram0.lpc"; - attribute MEM_INIT_FILE of gram0_4_0_2 : label is "gram_init.mem"; + attribute MEM_INIT_FILE of gram0_4_0_2 : label is "splash.mem"; attribute MEM_LPC_FILE of gram0_5_0_1 : label is "gram0.lpc"; - attribute MEM_INIT_FILE of gram0_5_0_1 : label is "gram_init.mem"; + attribute MEM_INIT_FILE of gram0_5_0_1 : label is "splash.mem"; attribute MEM_LPC_FILE of gram0_6_0_0 : label is "gram0.lpc"; - attribute MEM_INIT_FILE of gram0_6_0_0 : label is "gram_init.mem"; + attribute MEM_INIT_FILE of gram0_6_0_0 : label is "splash.mem"; attribute GSR of FF_11 : label is "ENABLED"; attribute GSR of FF_10 : label is "ENABLED"; attribute GSR of FF_9 : label is "ENABLED"; @@ -309,15 +309,15 @@ begin gram0_0_0_6: DP8KC generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", - INITVAL_1F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_1E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1F=> "0x0F800000003E00000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1E=> "0x000000000000000000000000000000000000000000000000000010007C00001E000C000000000000", INITVAL_1D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_1C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1C=> "0x000000000000000000000000000180006013821F0000000000000000000000000000000000000000", INITVAL_1B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_1A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_19=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1A=> "0x001FC03DF80060100201000000000000000000000000000000000000000000000000000000000000", + INITVAL_19=> "0x0000000000000000000000000000000000000000000000000000000001FE3FE03002010000000000", INITVAL_18=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_17=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_17=> "0x000000000000000000000000000000200FE000000000000000000000000000000000000000000000", INITVAL_16=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_15=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_14=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", @@ -374,37 +374,37 @@ begin gram0_1_0_5: DP8KC generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", - INITVAL_1F=> "0x0020100201000003F07E001F83FDFE3FBFE3FDC03FEFF00201000000000000000000003FC1E00000", - INITVAL_1E=> "0x001F03FC3E3F87E000003E0FE00000000003C1FE00000001FC3FE0F0020100000001C00FE01303FF", - INITVAL_1D=> "0x3FFC13FFFF3C3FF3FE0F00000000000000000000001F03FC00000003F1FE03FC13FE07001803FC0E", - INITVAL_1C=> "0x00000001003FE01002013F9FE0FC000000000000381FE00C003FDFF03FFD3FE0F3FFFF00E0100201", - INITVAL_1B=> "0x00000001FC3FFFF3FFFF303FF3FFFF3FEFF003FD207FF3FFFF3FFFF07FFF3FFFF3FFFF00F813FFFF", - INITVAL_1A=> "0x0020100000000003F83E001FC3FDFE3FDFE1FDE03FE7F0020100000000003FDFE3FDFE3FE1F3F3FF", - INITVAL_19=> "0x3FFFF3FE033F83E3F1FE3FFFF3FFFF3FFFF3FDFF3FEFF003F93FE030020100000001C007E01383FF", - INITVAL_18=> "0x3FFE13FFFF3C3FF3FE060000000000001E03FDFE3FFFF1FF813FFFF3FFFF003E13FF833FFFF3FFFF", - INITVAL_17=> "0x3FFF73FFFF3FFFF3FE0F3F1FE03C0000000000003C1FE004003FDFF01FFF3FF0F3FFFF00E0100201", - INITVAL_16=> "0x00000001FE3FFFF3FFFF383FF3FFFE3FE0F003FF307FF3FFFF3FFFF27FFF3FFFF3FFFF00F013FEFF", - INITVAL_15=> "0x0000000000000003FC1E001FE3FCFE3FDFE0FDF03FE3F0020100000000003FDFE3FDFF3FE0F3FBFF", - INITVAL_14=> "0x3FFFF07E013FC1E3F1FE3FFFF3FFFF3FFFE3FDFF3FE7F003F10FE010020100000001E003E013C3FF", - INITVAL_13=> "0x1FFF13FFFF3F3FF3FC020000000000001F03FDFE3FFFF0FFC13FFFF3FEFF001E03FDC03FFFF3FFFF", - INITVAL_12=> "0x3FFFB3FFFF3FFFF3FE073E1FE00C0000000000003C1FE000003FFFF21FFF3FF873FFFF0060100201", - INITVAL_11=> "0x00000201FE3FFFF3FFFF383FF3FDFE03E01203FF383FF3FFFF3FFFF37FFF3FFFF3FFFF00F013FE3F", - INITVAL_10=> "0x0000000000001003FC1E001FE3FC7E3FDFE0FDF93FE3F0020100000000003FDFE3FDFF3FE073FBFF", - INITVAL_0F=> "0x3FE3F002013FC1E3F9FF3FFFF3FFFF3FDFE3FFFF3FE3F003E103E010020100000001F001E013C3FF", - INITVAL_0E=> "0x0FFF13FFFF3F3FE3FC000000000000001F03FDFE3FFFF07FC13FFFF07E01001E01FD803FFFF3FFFF", - INITVAL_0D=> "0x3FFF13FFFF3FFFF3FE033C0FE0000000000000003C0FE000003FDFF007FF3FF013FFFF0020100200", + INITVAL_1F=> "0x01E013F2FB201DE01C0000000303871C10000C18002011C2010000000000200FE000003E00E00000", + INITVAL_1E=> "0x3F0063FC063F80E380FE301FE001FE003F101DFC003F903E003FC7E001801FE013E2FD0003800000", + INITVAL_1D=> "0x30383007E10187C000000020100000000003E00E001001FDC03FFFF0FFC103FF10FE013FC033FE0F", + INITVAL_1C=> "0x3F9FE3FCFE3F03E3C0FE001E03FC02301FE00D001FA0120207300063E01E3007E2000E2000600000", + INITVAL_1B=> "0x000000010001E01003F1383FF3FFFE303FF3FDFE003F83FDFE00FC13FFFF1FF013FFFF0FE01003FF", + INITVAL_1A=> "0x006013F27F201FE01C0000C000FC00004013820307C00060000000000000200FE000003E00E3FDFE", + INITVAL_19=> "0x3FE073F3FF3FE01203FF3FE3F003F93FFFF01FF13FFFF006013F81E0018007E013E2FF000E0000F0", + INITVAL_18=> "0x0020100200001F801D800020000000000003E006001000FDE03FFFF07E013FFFF01E013FDFE3FC00", + INITVAL_17=> "0x3E1FE3FC7E381FE3FC1E001C03FC00301FE005000FE010020D0781C0000000000381E23020000000", + INITVAL_16=> "0x000000018000E01003F93C3FF3FDFE003FC3FC3E001803FDFE002013F9FE0FE013F3FF00E01003FD", + INITVAL_15=> "0x002013FA1E201FE00C00038F03000200000000003020701A0000000000003007E000003F0063FDFE", + INITVAL_14=> "0x3FE03203FF01E01003F13FE01002013FFFF00E013FE1F002013F80E001C003E013E27F0018038006", + INITVAL_13=> "0x01C0000001200063C0E000000000000000000000000000000000000000003F01E00000201FE00400", + INITVAL_12=> "0x0000000000001F007C0000000000000000000000000000003000FC10060100000079000CE0100201", + INITVAL_11=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_10=> "0x000000000000000000003E0E60007800000001F0301F200C00000000000000000000000000000000", + INITVAL_0F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000007C1C", + INITVAL_0E=> "0x3C000000000F8000383C000000000000000000000000000000000000000000000000000000000000", + INITVAL_0D=> "0x000000000000000000000000000000000000000000000000E0070001F000001F0001E00600000000", INITVAL_0C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_0B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_0A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_09=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_08=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0B=> "0x000000000000000000000010000C0000E013E2070E00000000000000000000000000000000000000", + INITVAL_0A=> "0x000000000000000000000000000000000000000000000000000000000000000000000000000001E0", + INITVAL_09=> "0x001F83FDFE0038100600000000000000000000000000000000000000000000000000000000000000", + INITVAL_08=> "0x0000000000000000000000000000000000000000000000000038002001F83FE010021F0000000000", INITVAL_07=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_06=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_06=> "0x00000000000000000000000001E0000003E0000001C0000001000000000000000000000000000000", INITVAL_05=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_04=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_03=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_04=> "0x00201002010003C00000000000000000000000000000000000000000000000000000000000000000", + INITVAL_03=> "0x000000000000000000000000000000000000000000000000000007800000000003E0000000000000", INITVAL_02=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_01=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_01=> "0x00000000000000000000000002001E00000001C00020100000000000000000000000000000000000", INITVAL_00=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC", @@ -439,38 +439,38 @@ begin gram0_2_0_4: DP8KC generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", - INITVAL_1F=> "0x00000000003F83E000003FFFF3FFFF01E0100201001F01FC003E1FE3FD823FFFF387FF1FE0100201", - INITVAL_1E=> "0x000000000000000001C007E0100201001E03FD801FE01002013FE0100201001C03FC02001FE3FDFE", - INITVAL_1D=> "0x3FEFF0020100000301FE003813FFFE307FF3FE1F3FDFF01E0100000000000000000000381FE00400", - INITVAL_1C=> "0x00000001FE303FF01E01201FE03C0000000001FE006013C3FF3FFFF3FE0700000000003FC0E003F9", - INITVAL_1B=> "0x3FE3F3FBFF03FFD3FEFF000000000000000381FE3FFFF3FE3F00000000003FC1E3F07E000003E1FE", - INITVAL_1A=> "0x00000000003FC3E000003FDFF3FFFF0060100201001F80FC003F1FE3FDC23FFFF383FF1FE0100201", - INITVAL_19=> "0x00000000003FFFF3FFFF07E0100201001E03FD800FE01003011FE0100201001E03FC00001FC0FC00", - INITVAL_18=> "0x3FC1E0020100000301FE003813FDFE383FF3FE1F3FDFF00E010000000000001FE3FDFE3FFFF00201", - INITVAL_17=> "0x00000201FE383FF00E01201FE01C0000000001FE00201383FF003F91FC0000000000003FC07003F1", - INITVAL_16=> "0x3FE0F3F3FF01E01002010000000000000003E1FE3FFFF3FE1F00000000003FC1E3F87F000003F0FE", - INITVAL_15=> "0x00000000003FC1E000013FC06383FF0020100200001FC07C00000000000000000000000000000000", - INITVAL_14=> "0x00000001003FFFF3FFFF03E0100201001F01FDC007E01003811FE0100201001F03FC00001F81FC00", - INITVAL_13=> "0x3FE070020100000381FE0020000000000000000000000000000000000000201FE3FDFE3FEFF00201", - INITVAL_12=> "0x00000201FE383FF00600301FE00C0000000201FE00201303FE203FF07E0000000000003FC0300201", - INITVAL_11=> "0x000000000000000000000000000000000003E1FE3FFFF3FE0F00000000003FC0E3FE3F000003F07E", - INITVAL_10=> "0x00000000003FC0E000013FC0E3E3FF0000000000001FE07C00000000000000000000000000000000", - INITVAL_0F=> "0x00000001003FFFF3FFFF01E0100201001F80FDE007E01003C10FE0100201001F81FC00001F01FD80", - INITVAL_0E=> "0x1FE0100201000003C1FE001C03FDFE3C3FF3FC023FEFF002010000000000201FE3FDFE3FEFF00201", - INITVAL_0D=> "0x00000381FE3C3FF00000381FE0040000000301FE00201203FE383FF01E0100000000003FE01003FF", - INITVAL_0C=> "0x3FF073FFFF20FFF3FE1F00000000000000000000001803FC0600000000003FD073FE1F000003F83E", - INITVAL_0B=> "0x00000000003FC06002013FC1E3FC7F0000000000201FE03C003FDFE0FDF03FE7F3F3FF03E0100201", - INITVAL_0A=> "0x000000000000000001F800E0100201001FE07DF003E01003C107E0100201001F80FC00001F03FDF0", - INITVAL_09=> "0x07E0100201000003E1FE001F03FDFE3E3FF3FD823FFFF00201000000000000000000003F87E00000", - INITVAL_08=> "0x000003E1FE3E3FE000003C1FE0040000000381FE00201001FE3E3FF0020100000001001FE01203FF", - INITVAL_07=> "0x3FF873FFFF307FF3FE1F00000000000000000000001C03FC0600000001003FF013FE0F000003FC1E", - INITVAL_06=> "0x00000000003FC03002013FD9E3FC0E0000000000201FE01C003FDFE0FDF93FE3F3F3FF03E0100201", - INITVAL_05=> "0x000000000000000001FC0060100201301FE03DF801E01003E103E0100201001FC07C00001E03FDFE", - INITVAL_04=> "0x01E0100201000003F0FE001F03FDFE3F3FE3FDC23FFFF00201000000000000000000003F83E00000", - INITVAL_03=> "0x000003F8FE3F0FE000003E1FE0000000000381FE00200001FE3FE7F0020100000001801FE01303FF", - INITVAL_02=> "0x3FF833FFFF383FF3FE0F00000000000000000000001E03FC0200000001F00FF813FE0F001003FC1E", - INITVAL_01=> "0x00000000003FE03002013F9FE3FC020000000000301FE00C003FDFE07FFD3FE1F3FBFF01E0100201", - INITVAL_00=> "0x000000000000000001FE00601002013F9FE005F800E01003F101E0100201001FE03C00001C03FDFE", + INITVAL_1F=> "0x003FE001F02020F003C0007FD3FFFF007F9207DF3C20F000062000324202000670020F0040600001", + INITVAL_1E=> "0x00000000001FC000010101E010038100E310020103FFD006013F8023C01E3E00E0C0003803E381FE", + INITVAL_1D=> "0x3FE3F3023F3BC0E1FE0100C0C1C10000E000C00400C380C0010000000000001F001C00200FE00000", + INITVAL_1C=> "0x3007E00000001FE3803E001C007C0007D011FE01000003F8023FDFF3FE0107CF81FBE10026118200", + INITVAL_1B=> "0x200430030101A1C301000020100000000002007E000003E006000003F002000003C01E03E01003FD", + INITVAL_1A=> "0x003FC005F000201001C0007FD3FFFF003F9387CF3C20700206060F10220C200C01E0602000200201", + INITVAL_19=> "0x00000000000FC000038100E01003C1006010020103FFF002013F802301FE3FE07002013803E381FE", + INITVAL_18=> "0x3FE0F3821F38D0F0FE0100D9C00DFC03000031F83E218060000000000000001F800C003007E00000", + INITVAL_17=> "0x3803E00000001FE3C01E001C007C003FDFF0FE01000003F80207D000FE0103C7F1FBF1002E13007E", + INITVAL_16=> "0x3C186000C00FD003FD000020000000000003007E000003F0063FDFF3FE03000003C11E03E01003FD", + INITVAL_15=> "0x303FE3FDFE00201001C0007F9007F9003FD3C1C23E2070020C1CC003F27F3C1FE001382020300201", + INITVAL_14=> "0x00000000000FC000038100FFD3FFFF006010020123EFF002013F8023FDFE3FE07002013803E3003E", + INITVAL_13=> "0x3F0023811E3070F0FE010183E018803FDFE0FC100303C060000000000000001F8004003003E381FE", + INITVAL_12=> "0x3FE1F00201001FE3E00E001C007DF03FFFF07E01000003F80207DC007E0123C1F1F3F1002C01E1C0", + INITVAL_11=> "0x1800001C30021C03018E0000000000000003803E000003F8023FDFF3FE01000003C11E01E01003FD", + INITVAL_10=> "0x3F3FE3FDFE00200001C0007F9007FD000FE3F1803F203003DC30200018C0031000040E33C0000000", + INITVAL_0F=> "0x000000000007C01003C1007FD3FFFF002010020123EFF002013F9C21FC003F802000003803E3003E", + INITVAL_0E=> "0x3FC003C18E3038F07E013F000060C0301800003000D8003C000000000000001FC004003803E3C1FE", + INITVAL_0D=> "0x3FE1F00201001FE3E00E001C007DFE003C007C00000003F8020FDE001C0139E0F3F3F9003C000D00", + INITVAL_0C=> "0x082010600C06070001E00000000000000003803E000003F802000003FC00000003E10E01E01003FF", + INITVAL_0B=> "0x3FC00001FC00200001E0003F120EFF000FE1F9803F2030021F000060080000F01204023800600000", + INITVAL_0A=> "0x000000000007C01003C100601003E1002010030121EFF002013FDE003C003F800000003C01E2007E", + INITVAL_09=> "0x0FC003C1EF3039F07C0000C001C2410003E00030004001E2010000000000001FE000003C01E00000", + INITVAL_08=> "0x3C00E00000200FE3E00E001E003CFE001E003C00000003FC000FDF8005013CE073F3FD0003E00000", + INITVAL_07=> "0x080013820006018000000040100201000003C01E000003FC00001001FC00000003F10601E01202FF", + INITVAL_06=> "0x1FC00001FF00000001F0003F130E3E2017E07D803FA011C2010001C0080000501186010003800000", + INITVAL_05=> "0x000000000003E01003E100201003F12020F003C020FFF002011FDF001E013FC0003C003F00E2007E", + INITVAL_04=> "0x03C013E3F7303DE03C000020130240000760003000201302020000000000001FE000003C01E00000", + INITVAL_03=> "0x3E10E1FC003803E3C01E001F820CFE001E003DFE001800FC001FDFC001003EE013E3FD0000C00000", + INITVAL_02=> "0x184000600F06006000000000000201000003C01E000003FC00001001FDE0002013FE0307E013827F", + INITVAL_01=> "0x3FC00001FE3F802001FC003E13DC0E201FE03D003FA013820100070019C0031000E0000001C00000", + INITVAL_00=> "0x000000000001E01003E100201003F03C23F001F0003FF0060107FE107E011FDC00FC003FC02000FE", CSDECODE_B=> "0b010", CSDECODE_A=> "0b010", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC", REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, @@ -504,38 +504,38 @@ begin gram0_3_0_3: DP8KC generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", - INITVAL_1F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_1E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_1D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_1C=> "0x00000000003FDFE3FDFF3FE013FBFF0FE01002013FC06000003F80E00000000003F01E381FE00000", - INITVAL_1B=> "0x000003FD063FFFF3FFFF00E0100201000000000000000000000000000000001F83FDFE3FFFF07FE1", - INITVAL_1A=> "0x3FFFF1FE01001F01FC00001E03FC0000000001C007E013FE3F00000001F021FFF3FFFF3FEFF00201", - INITVAL_19=> "0x00000000000000000000000000000000000381FE3FFFF3FFFF3C3FE3FDFE00E01203FF00201003FE", - INITVAL_18=> "0x0020100201381FE005E007E01002013F0FE3F1FE3FFFF3FE0F201FE3FC1E3FDFE01FF93FE0700201", - INITVAL_17=> "0x00000000003FDFF3FFFF3FE033FFFF3FFFF002013FC0E002013FC1E00000000003F83E3C1FE00400", - INITVAL_16=> "0x001C03FD8E3FFFF3FFFF01E013F3FF07FFD3FFFF3F9FE1FC000000000000001FC3FDFE3FFFF07FF1", - INITVAL_15=> "0x3FFFF3FE7F001F81FC00001F03FC0000000001E007E013FA3F00000001FE30FFF3FFFF3FE7F00381", - INITVAL_14=> "0x3FFFF387FF3FFC13FFFF002010020000000381FE3FFFF3FFFF3E3FE3FDFE1FE01303FF00201201FE", - INITVAL_13=> "0x00201002003C1FE005C00FE01002013FC3E3F9FF3FFFF3FE07301FE3FC1E3FDFF03FFF3FE0700201", - INITVAL_12=> "0x00000000003FFFF3FFFF3FF013FFFF3FFFF03E013FC07002013FC0E00001000003FC1E381FE00C00", - INITVAL_11=> "0x001F03FDC03FFFF3FFFF00E013FBFF03FFD3FEFF3FDFE0FC000000000000001FE3FDFE3FFFF03FF1", - INITVAL_10=> "0x3FFFF3FFFF003FC0FC00001F01FC0000000001E003E013FA7F00000301FE383FF3FFFF3FE7F003C1", - INITVAL_0F=> "0x3FFFF3C3FF1FFE13FFFF0020100000000003C1FE3FFFF3FFFF3E1FE3FDFE3FE07383FF00201301FE", - INITVAL_0E=> "0x00201002003E1FE001800FE01002013FC0F3FBFF3FFFF3FE03381FE3FC1E3FFFF01FFF3FE0700201", - INITVAL_0D=> "0x00000000003FDFE3FFFF3FE013FFFF3FFFF0FE013FC03002013FC0600201001003FC0E301FE01C00", - INITVAL_0C=> "0x001FE0FD003FFFF3FFFF006013FBFF01FFF3FEFF3FDFE07C0100000000000000000000201FE01C00", - INITVAL_0B=> "0x000003F1FE003FF07E00001F80FC0000000001F001E013F2FF000003E1FE00200000003FC3E001E0", - INITVAL_0A=> "0x3FEFF3C3FF0FFF13FFFF00200000000000000000000003E0FE00000000003FE0F3C3FF00200381FE", - INITVAL_09=> "0x00201000003E0FE001801FE01003013FE0100201001E03FC023C1FE3FD0E3FFFF20FFF3FE0300201", - INITVAL_08=> "0x000000000000000001801FE0100201001F81FC003FE03002013FC0700201001803FC0E201FE3FDFE", - INITVAL_07=> "0x3FFFF03E0100000001FE002013FFFF21FFF3FE7F3FDFE07C0100000000000000000000301FE01C00", - INITVAL_06=> "0x00000201FE003FF03E01001FC07C0000000001F800E013E3FF3FFFF3FEFF00000000003FC1E001E0", - INITVAL_05=> "0x3FE7F3E3FF0FFF93FFFF00000000000000000000000003F07E00000000003FC1E3E1FF00000381FE", - INITVAL_04=> "0x00200000003F07E001003FFFF3FFFF0FE0100201001F03FC003E1FE3FD063FFFF307FF3FE0100201", - INITVAL_03=> "0x000000000000000001800FE0100201001E03FD003FE01002013FE0300201001C03FC06201FE3FDFE", - INITVAL_02=> "0x3FFFF0060100000201FE003013FFFF30FFF3FE3F3FDFE03E0100000000000000000000381FE00C00", - INITVAL_01=> "0x00000001FE203FF01E01001FE07C0000000001FC00E013C3FF3FFFF3FE1F00000000003FC0E001F1", - INITVAL_00=> "0x3FE7F3F3FF07FF93FFFF00000000000000000000000003F87E00000000003FC1E3E0FE000003C1FE", + INITVAL_1F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000018000006", + INITVAL_1E=> "0x080000600C060000C1C0000000000000000000000000000000000000000000000000000000000000", + INITVAL_1D=> "0x0000000000000000000000000000000000000000000000001800C000198103100004003020100000", + INITVAL_1C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1B=> "0x0000000000000000000003830000C018100004100000E03000000000000000000000000000000000", + INITVAL_1A=> "0x0000000000000000000000000000000000000000000000000000000000000000000000000C020002", + INITVAL_19=> "0x1800031C700200018180000000000000000000000000000000000000000000000000000000000000", + INITVAL_18=> "0x0000000000000000000000000000000000000000000000000C00000213FF3FF8F002012000300200", + INITVAL_17=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_16=> "0x0000000000000000000001818001C007E013FE1F0001C06000000000000000000000000000000000", + INITVAL_15=> "0x00000000000000000000000000000000000000000000000000000000000000000000000006030000", + INITVAL_14=> "0x3E182000C00F80030100002000000000000000000000000000000000000000000000000000000000", + INITVAL_13=> "0x00000000000000000000000000000000000000000000000006001E1036193008007C002000200201", + INITVAL_12=> "0x000000000000000000000000000000000003E03E00000001FE002010000000000001E000E0100201", + INITVAL_11=> "0x0000000000000000000000C0C30106018000610C002190620000000001C03FFFF07FFD3FFFF307FF", + INITVAL_10=> "0x3FFFF003E13FE01002013FE3F003F1002013FA033F9FE0050001E01202FF1FC0007D800066118201", + INITVAL_0F=> "0x200630030101A3C301000020100000381FE3FDFE3FBFE3FC3E3FDFF3FE1F3F1FE0FC00301FE3FC0E", + INITVAL_0E=> "0x3E01E001C0007F13FEFF200FE000000FDE001FF13023F00006000070620600046200060040600201", + INITVAL_0D=> "0x000003F9FE3FFC33FFFF07FFD3FFFF03FE13FFFF002013FBFE03E013FE013803E381FE3FE013E20F", + INITVAL_0C=> "0x001F800CFE3E0063F00200C0C060000063C0C007018300C00000000001C03FFFF03FFD3FFFF383FF", + INITVAL_0B=> "0x3FEFF203FF3FE1F003F13FFFF007E1006013FA013FFFF07E0101E013823F1FD800FDC00026118381", + INITVAL_0A=> "0x000363E006005812020200201000003C1FE3FDFE3FDFE3FC1E3FDFF3FE0F3FDFE3FE033F1FE3FC7E", + INITVAL_09=> "0x3803E001E0203FF3FFFF002FF0000007DF100FF93821F00006100013830001E3D002190040600001", + INITVAL_08=> "0x000003FDFE3FFE13FFFF03FFD3FFFF01FFD03FF100FC13FE031FE013FA073C01E3F03E3FC0E3C00E", + INITVAL_07=> "0x001FE2007E3F8063F80000C0C01800300FF30203020300C0000000000000001E003C00001FE00000", + INITVAL_06=> "0x200FE3C07E380FE001FE003F901D8000E013FFC101F813FE0103E013C20F0FDC00FDC100261182C1", + INITVAL_05=> "0x0000C3F00E0010020203002010000000000001FE000003C01E000003E00E03E013F20F3FC02201FF", + INITVAL_04=> "0x300FE001E03823F003F1003FF0030101FF100FFF3821F00006180013830001E39002110040600001", + INITVAL_03=> "0x00000000001FC000000001E010030120EFF0030101FF103E013FC003E00E3C00E3FC003C01E3C01E", + INITVAL_02=> "0x3007E2007E3FC061F80000C0C01000200FF38203030300C0000000000000001F001C00200FE00000", + INITVAL_01=> "0x3007E3C00E201FE300FE001E007D0001F011FFC1002013FE033FDFF3FE0707DF00FFE10026118380", + INITVAL_00=> "0x000363C00600CC120202002010000000000200FE000003E00E000003F00600C003C21F0FC00003FD", CSDECODE_B=> "0b011", CSDECODE_A=> "0b011", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC", REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, @@ -569,38 +569,38 @@ begin gram0_4_0_2: DP8KC generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", - INITVAL_1F=> "0x00000000003C40101E013FE0F003E1001F03FC003F8FE001C01FE013E303003F13FE0E3E0FE001E0", - INITVAL_1E=> "0x0011E03C0E3E1FE005E01FE01003FF3FE0F3FFFF20FFF3FE3F00000000000001C078F02000E1E000", - INITVAL_1D=> "0x1C00000000003C10061F3C10001D002060F3020F01C002001F3C0001C1F801E000001D1E00000000", - INITVAL_1C=> "0x3FDFE0FDF03FE7F3E3FF07E010020100000001801E2010F0000020101E010003C0001E03D0001CF0", - INITVAL_1B=> "0x2007E0E00000CE00020103C0001C003FAF3002000390001C00001E03FDFE3E3FF3FD823FFFF00201", - INITVAL_1A=> "0x0000000000301FE00D800021E000F0000003800201DE0000701E0003E10E0023D0000E001E0000E0", - INITVAL_19=> "0x201CE27006001E0000F000000001FE3FF073FFFF307FF3FE1F0000000000000003FC7E380023C000", - INITVAL_18=> "0x1E00000000004F10020F0F10000D8031E073800101C000001E1E0001E18E00F003FC1F3E0FE00000", - INITVAL_17=> "0x3FDFE0FDF13FE3F3F3FF03E010020100000001F00FE0103A010030100E000001C2000E01D8000478", - INITVAL_16=> "0x301E607000000F10020103D0001D0031E7F003F901E013FE3F001F03FDFE3F3FE3FD823FFFF00201", - INITVAL_15=> "0x00000000000F180005C02000E00070000003C00000CF0000390F0000719C0021E2000E000E000070", - INITVAL_14=> "0x2007E3F0023F0FE0018007E01203FF3FF833FFFF383FF3FE0F00000000000018000438380001E000", - INITVAL_13=> "0x0F00000000002712020F07180005803FA023C00000C002000F0E0003F102006012020F0018000400", - INITVAL_12=> "0x3FDFE07DF93FE1F3FBFF01E0100201000000003C07800039800038100C002000E3000600D8000439", - INITVAL_11=> "0x3818207C00002790020100D8000F812023F0020101C0000039001F83FDFE3F3FE3FDC03FEFF00201", - INITVAL_10=> "0x0000000000079C0005C03820200038000000F80000C700027907800039F80003C3C0020003C00078", - INITVAL_0F=> "0x3001E3E002000700000007800301FE3FFC13FFFF383FF3FE0F0000000000001803FC1E301FE03C00", - INITVAL_0E=> "0x3FE0F3C3FF00238300063F1FE005C03E000381FE003E13FE01070001F100003E13FE073F1FE00000", - INITVAL_0D=> "0x3FDFE03FFD3FE0F3FFFF00E010020100000001F003E013E2FF201FE1FC001FE013020300C003FE1F", - INITVAL_0C=> "0x3810003C003FC06203FF003C1007C02021F001FE00F813FE0F001F83FDFE3F9FE1FDE03FE7F00201", - INITVAL_0B=> "0x0000000000300FE0000001C013C3FF003FD00000000300030103E0101AC1001C001C001FC0000018", - INITVAL_0A=> "0x300021C0003FC3E001F800201383FE3FFC13FFFF3C3FF3FE06000000000000000000000000000000", - INITVAL_09=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_08=> "0x3FDFF01FFF3FE0F3FFFF00E010020100000000000000000000000000000000000000000000000000", - INITVAL_07=> "0x00000000000000000000000000000000000000000000000000001FC3FCFE3FDFE0FDF03FE3F00201", - INITVAL_06=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_05=> "0x0000000000000000000000000381FE1FFE13FFFF3E3FF3FC02000000000000000000000000000000", - INITVAL_04=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_03=> "0x3FDFF01FFF3FF073FFFF006010020100000000000000000000000000000000000000000000000000", - INITVAL_02=> "0x00000000000000000000000000000000000000000000000000001FC3FC7E3FDFE07DF03FE3F00201", + INITVAL_1F=> "0x000002007E200060C0003F00000030180000E000000013820F30006060000020F302000001C20006", + INITVAL_1E=> "0x3E0060000000C003000200180005C001A012301E380000000000000000003FC010C201003F10FC00", + INITVAL_1D=> "0x06000039000023C0018007E010F3010021E1C000000003020100DC03FC010006000000004000021D", + INITVAL_1C=> "0x3E0C0001800F8000700000000000000000E0780000207303060030100780300023C0023818200D80", + INITVAL_1B=> "0x202033C2000021900200004302020F0040100207000380000001D8000C0C3010003D000020100000", + INITVAL_1A=> "0x000000E1800021C0C0000001D0023130002030000060101CE13001C060000060F300010003030002", + INITVAL_19=> "0x004700000100C0030002000700001E03800031C00020E00000000000010020206300000021D30002", + INITVAL_18=> "0x06000061C000076000E03800037100002761C0000000020207000300020F00060000000040030203", + INITVAL_17=> "0x01DC03FDFF200061800000000000002000200000004061820100500007013FE010E2070C00003980", + INITVAL_16=> "0x206020C20700219002003FC1E2000301C0000006000380000000039003FD3FE013C2010000100201", + INITVAL_15=> "0x000000E000000700C0000023100230201FE01D0001F0000D80300320600001E0F30000001E03FC00", + INITVAL_14=> "0x004C00000100C00300020000C3800000000000000043900201000000000000201203FE0020F20006", + INITVAL_13=> "0x060000C0E0200C2000703000207106003C61C000000000020F001F100E0C3C07E001FE006011C201", + INITVAL_12=> "0x002010020000070200060000000000000FC000003FC070C20100D00004000C2010720C0600006180", + INITVAL_11=> "0x21E020C01800219002000180E2007E019803FC063FC3E0010020207380060003E0000E00C0000000", + INITVAL_10=> "0x000002007E0000E0C00000261000300000C00D800390000500302C2060000720F30000000C00C000", + INITVAL_0F=> "0x000C00003C00C0030002200020710003E013F20F038E00000000000000003F0000C0000020F20006", + INITVAL_0E=> "0x060001807038180000703000207118003061C400000000021D002010000C038600000000400033C1", + INITVAL_0D=> "0x3018000CE0039800041C00000000000000003900002071C00000500004010600101A390E00003180", + INITVAL_0C=> "0x272020C06000219002002300600000018C00000600038001C01C000010E00E180000300300000000", + INITVAL_0B=> "0x0000000180202060C000002390023000198004C00710000DC030102064001C20F300000018006000", + INITVAL_0A=> "0x000E00000C00C00300021800000CC0301C0000100E180004000000000000300020E0000021D30002", + INITVAL_09=> "0x06000300181C100004E03800007170002061D8000000000331002600000E01860000000040001E31", + INITVAL_08=> "0x180000600E0600001C3000000000000000607100002073800000101006010340000E711C00001D80", + INITVAL_07=> "0x3C2020C1800001900200360002000600DC0000070003800060070000080000F01006C10E00000000", + INITVAL_06=> "0x000001C1C00000E0C00000C0E00231001F0000700C0000787030002078003020F300000010003C00", + INITVAL_05=> "0x03C380003C00C00300020E180000400003C000303800000C0000000000001FC01383FF003F10FC00", + INITVAL_04=> "0x3FC1F2020F0E00001D8007E01073C1002061E00000000003E1001C03FC003F07E001FE007010061D", + INITVAL_03=> "0x080003800006000030600000000000001F800C003FC06003FD001FC3FC0001D00004E0000FE00180", + INITVAL_02=> "0x302020C10000219002001C000001F0002013FC063FC3E00030018000080000701007011820000000", INITVAL_01=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_00=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_00=> "0x00000000000000000000070600004020066000302000201800000000000000000000000000000000", CSDECODE_B=> "0b100", CSDECODE_A=> "0b100", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC", REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, @@ -635,37 +635,37 @@ begin gram0_5_0_1: DP8KC generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", INITVAL_1F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_1E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1E=> "0x0000000000000000000000000000000000000000000000000000000001FC3FE01002010000000000", INITVAL_1D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_1C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1C=> "0x0000000000000000000000000000003F9FE03C000000000000000000000000000000000000000000", INITVAL_1B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_1A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_19=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1A=> "0x001C0001800000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_19=> "0x00000000000000000000000000000000000000000000000000000000000C30000000000000000000", INITVAL_18=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_17=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_17=> "0x00000000000000000000000000000001800030000000000000000000000000000000000000000000", INITVAL_16=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_15=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_14=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_15=> "0x000C0001800000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_14=> "0x00000000000000000000000000000000000000000000000000000000000C30000000000000000000", INITVAL_13=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_12=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_12=> "0x00000000000000000000000000000001C000F0010000000000000000000000000000000000000000", INITVAL_11=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_10=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_0F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_10=> "0x000FE0018000E0100201000000000000000000000000000000000000000000000000000000000000", + INITVAL_0F=> "0x00000000000000000000000000000000000000000000000000000003F80C301FE000000000000000", INITVAL_0E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_0D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0D=> "0x0000000000000000000000000001F001A00030FC0000000000000000000000000000000000000000", INITVAL_0C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_0B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_0A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0B=> "0x0F8C0001803C00200000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0A=> "0x00000000000000000000000000000000000000000000000000001F00000C300001F0000000000000", INITVAL_09=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_08=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_08=> "0x00000000000000000000000003800601800030000020100201000000000000000000000000000000", INITVAL_07=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_06=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_05=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_06=> "0x002C1003810007800000000000000000000000000000000000000000000000000000000000000000", + INITVAL_05=> "0x00000000000000000000000000000000000000000000000000300060000C300002000E0000000000", INITVAL_04=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_03=> "0x0000000000000000000000000000000000000000000000000000000000000000001CE0001E003C00", - INITVAL_02=> "0x38000001FE000003F802001FC01C000020F003E0003011FE0038000381C001D003FC3E001FE01C00", - INITVAL_01=> "0x3F1FE0FDC03FE3F3C3FF03E010020100000381E01C000381FE0020103C003FC0E001F101E013F3FF", - INITVAL_00=> "0x0001E1C0003F87E001F800E0103A013C2E1003F907E013FAFF001C03FDFE3C3FF3FF063FFFF00601", + INITVAL_03=> "0x00000000000000000000000000780001800070000380000000000000000000000000000000000000", + INITVAL_02=> "0x000000000000000000000000000000000000000000000000000000000000000000000000000000E0", + INITVAL_01=> "0x000F8001800038100E01000000000000000000000000000000000000000000000000000000000000", + INITVAL_00=> "0x000000000000000000000000000000000000000000000000000E0003E00E3007E000F00000000000", CSDECODE_B=> "0b101", CSDECODE_A=> "0b101", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC", REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, diff --git a/display/fpga/ip/gram0/gram0_generate.log b/display/fpga/ip/gram0/gram0_generate.log index e177011..3624573 100644 --- a/display/fpga/ip/gram0/gram0_generate.log +++ b/display/fpga/ip/gram0/gram0_generate.log @@ -3,7 +3,7 @@ Starting process: Module Starting process: SCUBA, Version Diamond (64-bit) 3.10.0.111.2 -Sun Apr 22 17:34:06 2018 +Sun May 10 13:18:44 2020 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -13,7 +13,7 @@ Copyright (c) 2002-2017 Lattice Semiconductor Corporation, All rights reserved. BEGIN SCUBA Module Synthesis - Issued command : /usr/local/diamond/3.10_x64/ispfpga/bin/lin64/scuba -w -n gram0 -lang vhdl -synth synplify -bus_exp 7 -bb -arch xo2c00 -type ramdp -device LCMXO2-1200HC -aaddr_width 13 -widtha 9 -baddr_width 13 -widthb 9 -anum_words 7000 -bnum_words 7000 -outdataA REGISTERED -outdataB REGISTERED -cascade -1 -resetmode SYNC -sync_reset -memfile /home/markus/projects/workspaceSigasi/lmg6202/bin/gram_init.mem -memformat bin -writemodeA NORMAL -writemodeB NORMAL + Issued command : /usr/local/diamond/3.10_x64/ispfpga/bin/lin64/scuba -w -n gram0 -lang vhdl -synth synplify -bus_exp 7 -bb -arch xo2c00 -type ramdp -device LCMXO2-1200HC -aaddr_width 13 -widtha 9 -baddr_width 13 -widthb 9 -anum_words 7000 -bnum_words 7000 -outdataA REGISTERED -outdataB REGISTERED -cascade -1 -resetmode SYNC -sync_reset -memfile /home/markus/projects/cross-discipline/lw35-upgrade/display/tools/splash.mem -memformat bin -writemodeA NORMAL -writemodeB NORMAL Circuit name : gram0 Module type : RAM_DP_TRUE Module Version : 7.5 @@ -21,7 +21,7 @@ BEGIN SCUBA Module Synthesis Inputs : DataInA[8:0], DataInB[8:0], AddressA[12:0], AddressB[12:0], ClockA, ClockB, ClockEnA, ClockEnB, WrA, WrB, ResetA, ResetB Outputs : QA[8:0], QB[8:0] I/O buffer : not inserted - Memory file : /home/markus/projects/workspaceSigasi/lmg6202/bin/gram_init.mem + Memory file : /home/markus/projects/cross-discipline/lw35-upgrade/display/tools/splash.mem EDIF output : gram0.edn VHDL output : gram0.vhd VHDL template : gram0_tmpl.vhd diff --git a/display/fpga/ip/gram0/gram0_tmpl.vhd b/display/fpga/ip/gram0/gram0_tmpl.vhd index 15d2c86..22adc2b 100644 --- a/display/fpga/ip/gram0/gram0_tmpl.vhd +++ b/display/fpga/ip/gram0/gram0_tmpl.vhd @@ -1,6 +1,6 @@ -- VHDL module instantiation generated by SCUBA Diamond (64-bit) 3.10.0.111.2 -- Module Version: 7.5 --- Sun Apr 22 17:34:06 2018 +-- Sun May 10 13:18:44 2020 -- parameterized module component declaration component gram0 diff --git a/display/fpga/ip/gram0/msg_file.log b/display/fpga/ip/gram0/msg_file.log index 6d4715e..3ba9d28 100644 --- a/display/fpga/ip/gram0/msg_file.log +++ b/display/fpga/ip/gram0/msg_file.log @@ -1,5 +1,5 @@ SCUBA, Version Diamond (64-bit) 3.10.0.111.2 -Sun Apr 22 17:34:06 2018 +Sun May 10 13:18:44 2020 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -9,7 +9,7 @@ Copyright (c) 2002-2017 Lattice Semiconductor Corporation, All rights reserved. BEGIN SCUBA Module Synthesis - Issued command : /usr/local/diamond/3.10_x64/ispfpga/bin/lin64/scuba -w -n gram0 -lang vhdl -synth synplify -bus_exp 7 -bb -arch xo2c00 -type ramdp -device LCMXO2-1200HC -aaddr_width 13 -widtha 9 -baddr_width 13 -widthb 9 -anum_words 7000 -bnum_words 7000 -outdataA REGISTERED -outdataB REGISTERED -cascade -1 -resetmode SYNC -sync_reset -memfile /home/markus/projects/workspaceSigasi/lmg6202/bin/gram_init.mem -memformat bin -writemodeA NORMAL -writemodeB NORMAL + Issued command : /usr/local/diamond/3.10_x64/ispfpga/bin/lin64/scuba -w -n gram0 -lang vhdl -synth synplify -bus_exp 7 -bb -arch xo2c00 -type ramdp -device LCMXO2-1200HC -aaddr_width 13 -widtha 9 -baddr_width 13 -widthb 9 -anum_words 7000 -bnum_words 7000 -outdataA REGISTERED -outdataB REGISTERED -cascade -1 -resetmode SYNC -sync_reset -memfile /home/markus/projects/cross-discipline/lw35-upgrade/display/tools/splash.mem -memformat bin -writemodeA NORMAL -writemodeB NORMAL Circuit name : gram0 Module type : RAM_DP_TRUE Module Version : 7.5 @@ -17,7 +17,7 @@ BEGIN SCUBA Module Synthesis Inputs : DataInA[8:0], DataInB[8:0], AddressA[12:0], AddressB[12:0], ClockA, ClockB, ClockEnA, ClockEnB, WrA, WrB, ResetA, ResetB Outputs : QA[8:0], QB[8:0] I/O buffer : not inserted - Memory file : /home/markus/projects/workspaceSigasi/lmg6202/bin/gram_init.mem + Memory file : /home/markus/projects/cross-discipline/lw35-upgrade/display/tools/splash.mem EDIF output : gram0.edn VHDL output : gram0.vhd VHDL template : gram0_tmpl.vhd diff --git a/display/tools/.gitignore b/display/tools/.gitignore index e7e22c3..74d4653 100644 --- a/display/tools/.gitignore +++ b/display/tools/.gitignore @@ -1,3 +1,2 @@ *.raw *.mem -*.png diff --git a/display/tools/splashscreen.png b/display/tools/splashscreen.png new file mode 100644 index 0000000000000000000000000000000000000000..93f75d800c6a4fd042be0b6e7f1c7fb5b10014cc GIT binary patch literal 9271 zcmV-7B*@!|P)6s`v000{3dQ@0+Qek%> zaB^>EX>4U6ba`-PAZ2)IW&i+q+NGOmawIu&h5zFeIs$eFj)T=qH_-9*J!G-_=cn+; z*WJ%&eB`CX_w@N^tN!Pcf#3NMzpt;)_b_<9{O&^ie19?j_>1wqP;B2Dd|&^$Q3{_M z`2D@m_+BW*@167K-}8It+ME4;3;SmW?fXjm{O9x~CyTYzV41Hudb8U3xkaCixNR*o z*}MO*8~yp;?&qzMHN}%c^6zW=tTKK68~j)Js^7Ayl-|#cul#xOCD^sI1g_k_vHfmU z(fmVfe!l-7f85{M*0&t~kZ%8{GH^e?$oa3!pq}qL(x0+de|>+iyFdRTl)p~*%jxe{ zWcmA3{Jj3_LU9NC!=~GB|J}RWz2~#LktfokeXR1=_^lTrs`Z8oB6kB_%!UZ@AG?Pn+b& zv?!IttMOjdzPWh z=__v|BjJ97T3&#E{{FEbzllwxu)HugHaPtJTw<2+TWzJ6=*0U9-#>L1Y=1tl3uW$I zSWHNyz}JvUsKHx|76O56BxnTRV#;xnflvyya!E}}mE6z-+}iOO3-7(L#Lw%XB$+6w zCL=dJ4U&~}p?)kkYG{;Haw(-2E3Nc0YO2}MVYONn+zv~Ytynd)X5B_hEw|EYv({Q~ zqsN{=V&M|Feu4_=vZrkQ7%b=qvR&#@?{31i)yby}Y?oewVku$l4Zdua~h;7b>Pc(S5vU#5Ts}dn<0R#9CrG z`|h=@?m7dZS*)(^QWoS=^Q|X0t~gVMC-yz_u#vL4ZO*M;F6&C_Q3-0*;`F(M9y6KA zTmW**LoBr>%Me-Jls?O8EtNXYoZDu}E}yKek|)0^i3`NF6Q#%{#%?K18tIV! zV<2tVW$wLawgiY?Y9tc22A(=@yG+PQv}cnxXl!k#vC|33D9gcG*Cwr*IQCYT--8{2 z%i}_>{I*a!E^Hg6kY`FOZr@so%L+|FhC;SpsU{#hb}A}d^K%nJ66i}MiD(&HdqESf zPQ|Z`GbXWb<;30CcgQtRetT9@LejVxMVgPofEwFj^$jbRn%ZLTWGxs8CsldvG71-) z`OZrn4V$^AHTr^E!d%l9WlMw5hGdCg`*=$rJ#<|O?&pX9^!uMLWYpwhm)j^&%e#k@ z?u@l3Kd75MS4*e%VaChDc+q+$YtseFwuiZ!Sw4wYIn@duZL}jaTH96S<+|#oI|$|V z85C%WQs9=Skk?YW%YgbR{p7TOmSJrb$+1$dU$M|i;e&n4Pon^gWe+l^H~hrI!W z>34tZ$sR={RM;g@ne>h&IKD_oFdj@cV8Ar;`YULd?e&4n-%-P&6b`wP=3 z)D0NKovDOfdMZY+60Fd-D!BRFr)tErLbSXpxfm>yBBt&M0e-4FZ>@KBCSF7dl+09ex9gu%TK? z%M(Pok-8Y+8~7Ki2^jFh>scBv*PLwp*PB;t~1uiRIu30C=V-pO=;AN z@91FLnD@lc>$+$@K&g&`GXUmjFGpc@1+7A6iT*jQ?_L0{T<6Zq+CGR>&{FQ_QgOj3 zXv(4wg3MlhgL-_2`ysYDGFNEiuCss`JA^>VfkC5}Q(#+V0z{hrz%TXeN>Lmm5(kK< z&!@A%z51b5X|TwCW345(1HC82bRqdrDFGaVmahgF1Kq|R%Ndjv<;4OoYUEJt;Zj9N zXa$UA%+>FlCHg~AcowuSog5aBL3_~w_idW;0wbVHpt(C@0Bz7-blP6y(32#Oh!20! z76I9P$vremD~K++W*>W^j94-#MUB|C?Se!%{73bK4!s&zn=H4^es>Wz5x9#*Tf%=M zYYD`?2Ne#rLWr22-cNAth**GcGSVrwTy5al(s>?)@{uUpv-fz{X<-l#Bw1LA7*f)866nbHl15IiVQ*J0Z(-Nm1GnGQSH} zC(O4ICN3t(Xq5^cEt_OUzZJTosH_n*F6O#7kjzhusdIg!3goPAh_9>GZ73u4I7EVx zn!BF`6UtO9aQ7n1ShCNqE#PJ~K$Ch7jrK%ELWMIS8^lkxuP?XIW;8@&Zzi(L2ngzP zM?mPJ4X#6(u>#rvOK?j9RaAzc^$CSafdwv@QAq`_7*Zs4JM_O4p4|$auqr5=3n2%I zQA794!}W{#%&$Atkj_NnQT5P3zo3Pux<;lI+MT2>LzAZxp}CK%)WfRS#R^t~#|IsX zV8m__ZPYFW*;IxEin}3-6@N-fAhd^^41Ea6xrSfO%frBnKBl>A4f!GhX)6{UEB7Ts zk`;xxMTL1KdNv|DD6r26qb!%nxu=%#xHQKgns8iN^bFN^W_Va-((8#`s=h9zkG_^K ztLj|X61nVPO70^_kwB!=8XYCUo~30ndLE=eOM#$G9uT#$rNOGxWu;iL2OtGPj$-s1 zhw$PorB>ElmxRw-XWYmZqyy%m!m0dRu$pD|rO_B0BF}`hMe@8m1#?e_Bkm0tqNVq|*xQ^#Y8h85=Rl z$cWIbfzw2z$%fDdGRf0yO-GH7ZrpQsfes+q8m5`>AGM+Tc zlpNvLr2VEUVR-m~ZmkgSEFE(|7Rdrir2st5)gx008o?YbQO!UR0V2uGLWHI0ta;Sy zI1wtIQmIIE8W5#WKzwuseH&b=$V&=n!7;C5;p8RPcq8T_0Ve1GU;)vVxzi+i39>_( z0xf)@){QJ3hb~BzRi!e2fN#v4{#3Ap%Y1Ahks2WB1VEd*`gf)SnIn^^|cM9sL8sQ=;+anEn zp-2eDMY_6BFs2czGw!$Y9ub8^-=W+Fk!DL`W<%s5eini_r!I2n2j)~=Y6ytvcb#zD zaBia_fdon!0N7AFyQhM>(v0rMs6vqVy2blT<=sHVQda%Ujs3W10uy`$^j`K!w*Yt) z;%kbP@z_*>;T=3)`RXnZ{Ow$pOc;_&-)sT?zz?l~!5IlHDUBOr1aZwKrM-|7sO^g* zx-t^Lb;y+4)7XhDG@AY1?(~8N#UvH_18i}Ns^A4Z!(61NgpG%^M?eEzu??SqODNCq zu;>O$0wZ@JyQnzcc!B0tSRV$VLX4mj`n^YiV?qBQ~*?~s0CxDHmAfGS}z~tul zNI24wsa^^wLp73LSd-H=M`&62PsQ&mf&KHkJ7^} zPK{V;X%Lk(Lw*?e01|^l;0d=zypk`VCm(z-Vh1;xI%*3(BVN1-^1z(u>rlD~4NtMG z%@<;$HW9i-u_@N}1l$glgM6l!(QiS=0iNGf$tbOf`f+wpK2!K%mwp4^2_v>_A|lKP zTEkkQ=}}>t%c0H?o*?a&?a6;F( z_n{$?z%VUA=kuA|Sz?{?xTaGOIklOII_d8?N`Sb@q*H5{Y13tx}|`HqTzioRG)ToC&v_aF%{yHK5Bj<&NH%$1r1f+%Tc#j%Pt{ zuoBR3hD=|igfsB+KinSz16BCCG_he1XgOEFHxFcYjqB1m$e)RU!dB;}x)RCv=;R3n~GE7yDSSKLRKK73&GzdUC20Ej>wi*LS0b$*1gqQ@Lmx($6Kx z^U=VDWXN9Ri7+8Agxbj12z-P^^i)vGL;`YzCQp>2fIi-A@SyzLS@=W5Tw86DGoT+l zneX&4X^KnscEJl{vgPFm7!Dz$FgHsBt#qKrU-hlP9sP!fB@XOCreDLm zyEa*uxq(3onh0P=F#zj6uI3a7zpyp13n@AHNGuT?1B{`-DWMLu!LVZj4T%LrHJ}}^x(KMJMT8E-)Jg;3QL^(1n%%R;VKcy!J~~aG zs%CS~=kN*5k&E1L@0dL@bsE4(-f=XkZaDMBSX<3_iU^wLmZV1dl$%APlTpG$K_Tok zFAWRZ9)l)3(jGxLIuOo_8)?y~Pc}1jF0-aXdR5tB3atkD6{I6dn+DEF@=i)NVx~|6 z6FDasL)W1Vh)xqib{#!L(qEnzj1#9oPiu;xBcRawk&3O^G!C(t!6g*=o`?fHj+a7F z7!i5ElGh*#K*2C~S`+9Noxj2+e>wpm5YGousfVA}R!TkQ&FHj5aQ4n0$#zT+7Jlry>1*8I@IWMj*-4u($(finN;0hh*srM9 zSkRQB5(o~)1%@Gz91gN!fTeIx)VQ$|D2oo(naTnglF+l%?$)yrMr@PBPWKc!HAlh1 z4}N!5D86yglfZ^lr~c`{VQNr19$M7l{*j@{H*0_dgHs73Bk*L^3Q^>Gnvr8s8+Mod zYbuFYa{;v?%>)3@**I+|5Fe6(?RMy9K65}GZ*uAMP{)u{!B|T9nn&DABGm!zmctAq9oaLy)lkfj(u;kjK#|hpWoPHjVDN5css_ao#_h*x@)h4}q>x1HvH^ zZ@IWiR8*SyVthu1u9O&h55Yt;EFgk9uI8XS79mHxq4`ZGZ5`$-ExGV7o5_OuqrJvTt%_91Byk%ts&Mxr!| zkB9)K-JE_p5HxC7RtI%|(Zv)5vCsHv>nJy_M#yylqq@N0vpAi9%IHN<63}u;y{?9g z==WlB3P?oN6&iD4Qx{rK^RfagMwOYh9?q?gzWD?F$VBmXR)l1rT{DN!IGZhRT3(?~OEAg)sO^pwE| z7zP#-U43%}KgWPe!z(`OH^{G>9>>t+NYh}Q(G*mAz8Y8zUY||ctfNunJaO3ob4Z#= z(ilBy+*vQHpuBknBQxP*%`8DVtQ1fMIWFGTid>D?5f-s@;v|$2kVfq2hxE?RFzlyZ z>@L**xJ^{3us~$+EZ`WVI361XpJ00zN6k8n1pO%-UI6kG%A*tre7MuQIyk2 zIZ+Ibek+=8ES)X!U(%APG(vZ2H2S4t*{ERJPP3mZ_{c2fLC9by)EYw3p&y7B*h^dB z5xKiXV^c|9i4{f}wi9&Z#SauKmb+=tfZoNN13wX=mx?=@Ho^C0Vkx-2+I_H4e9d03K%{%pUsld5|gVNf~@b)Ct(q8~_DzuXJV|L<5)? z*COX={DX;H{CrAY#i11NlU*=Lu~N3FXjoi&S{~mqcFxp$(Wt)o8IjYb`@< z*cW=sp>~ikDcbZ;AU<&dG?ejyQBr7ts_LFHfS-d>wqzY9C4%A6oyZ<{>@*XJ z!*$>?5=v&r;OzAT^B6%vyyt5)L{oY#|dcckGMj-aDo1z}LMAEk;78UP%uxH4RCUkxRgf>vf6=wdQ5x91(AA&(QRU05K8qumq|Z z{awH!D3R4&_O2&D(rB?B!?_HdGIpI{z?yNn>d|>IR}Hx^yd{a9Ar;bwa?zYk2lYbz zqsJPC=F%Pi!9A%FASskLN^%;lzsH44v%YiHs0}Sj3hC8?@9{vZy$4^AA37_iNy++z zsesfP^myb9PDcp*Tlmd|x)wo~k~$3yXD9kZNA?P>(~-4`B&r~zfFWp_h2$f4Dab;D zqP03q*!1`q&J}|T*?t z@QN!MjhaR;1yK*mAPQK}Q4ea)B^CooGXD5b&)x7dL$h7#i_BEM;faKhdg_V3w@N{2 zR0S@iASLpSB*M#7a9$0poq3kA1{8gLG|Jb zdM@H0^e_&eBCC{o(xW2Px;zRR5^P2J6Gp`bB~cZFV;XN^6~TMIp$hnm0&FyA(aDmD zX4Al2&|1kuwlI0qLprC}J3B&&BKmt6I*+586@>ac5oMQ?=BWX_UG$KWf4*C`m&KDL zWDSCu1}~_cl{=n0DvRqNNYSi%N`u92X;g9yT}8TOy|I+$U_=X(EgeOtN%>K$u7oX@=@H0lpd8YIr9zQBsG#yB_|7c9BL~C;QiBlnm6D+c;cZ zN7{%fJt>M?3ZJrOj{vB8nJU2k!W}x}=$h_j>Q97O9G*XrpZlIkrjLLA4*;dC&kR1c zzxCby-8cU83z5MMcDVlqAPyTRBL~CR0004mX+uL$Nkc;*aB^>EX>4Tx0C=2zkv&Mm zKpe$iQ%j{*9PA+CkfAzRkSgM+RVYG*P%E_RU~=gfG-*guTpR`0f`cE6RRz@3D;k>@G z%ypV0NMaF7kRU=q4P{hdBSx!EiiI@oC%X8DUB5&wgR-^Y&AJOTXAz?I(iR~x|0C+YRJ7Ciz6wtmpj1F zlOdb3EBR>(g#z$?M&FbJ25*7Tn%7%vAEysMhPq1K00)P_Sdp^VyS%%%v$ucGwEFu2 zEXi_<7zN^L00006P)t-s|Ns900033O(|!N|010qNS#tmY3ljhU3ljkVnw%H_000Mc zNlirueSad^gZEa<4bO1wgWnpw>WFU8GbZ8()Nlj2!fese{ z00$dML_t(o!|j;Qisi-?#!qz_RWCHsR>R!%eT5rlbHPn3kD}gZpFVryb{perRz(;6TDbJ2hP80bD_^_o9=Be(?!@&gw=65ZTnAsIDb~RUn2X4) zvsj=q4y0CDOgZXebeLm}v90b&2imV-t5VGU1#QdFlXw=+xulN zc2-{hcnWX(CFuAcFzLrv8?-jgnxS9-k`O2@HAV6?S`;aV7&?*8mPT_%e6*-qFTSAxLxuIjvxEb!=pTPbUen&0fCL?#@XV}j$hTr}i{GIdX%C;H# zLqprIU5}tYhHpNA;j`_Wk<^WM=Lnb2=sGl1e|^ian_v!LwZI&fo*iBG$qBh>@F zb_~A{h@T^PV7UAw9BrLZUgM`0@b?Vcd)Nyc1m?8(0sQV}$SE)VrQb2^N6uG%hTYG@ z-#L4Hd2l!jb^$T+JC0^FG&Zjaz@g14Sw$+#@FrRCGg z?ay|IX_Mf)+d)mR#;7tZaP<&uTfHmP-;`xo-`y-Q2XKOG2l(U-nZ>cXSV1AS zC0$~t$VOa5EOL<)3kl1343q1it^|*O`Js}nBU`vby~B6?2^ddy{vMX(!*#-O?&i_~ z(e>ph^@C$NO6C1%&!Zl6&xQ_CAS0`a1T=gUcls+Syg;kY+C={#YtpAgT_0{Pve+g9sP z-6_Wz!>xc(72pqS4=Q^!+)$3Z^VJ%dk#qeG@-|QNXt*UL{U(zQJR07S)GGG)qhZf5 zsw7g@AOJOYm&4jkf3H;pbhpz?jl8{AW$i8Qv?`s05o6I9Hat-p{BlCOG5G z2>crGt;-YqSB9;?#S9l%ilNJ#d_L`&;hksr)t6M=x(%%5ynYK<3rwY38g6g6(^syd zWNm>Pfxo5d+OZJ4)2x1t$zg4M-|Dw2pb=ynCqHNSUn_76_?5udPMZmapXtp~-S^W9 z+5{8BO)K#CRQ+C-eQk}NmR11Ey&P+% zu)-M_!rPFaV0Jv800FUZo~L{2F%yL7|(XO0YQ89so#huvP_LGBEeCBrJqE? zuAAXj!AS-2c{IV=R&08PUr<%BJn04W;3jz%EUa1F)aNh~#!RsC2gM=+Ttc)?-=d?% zwirH0U|cMR{0&_JE?G7SMo4O#&#>l@MA*UCfMIGD$+$?2%5NyU;-kt0*H#n=*f7jq zg}$i)3~lY?4aIWGKw>~;Tl2PpFg0KYtE{;^R-CPTLxbc5gYg2^T$ci`d@EH7e6iIw Z{{vi~TpDP^ge3p~002ovPDHLkV1iOJsptRz literal 0 HcmV?d00001