lw35-upgrade/display/fpga/ip/gram0/gram0.vhd

944 lines
57 KiB
VHDL

-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.10.0.111.2
-- Module Version: 7.5
--/usr/local/diamond/3.10_x64/ispfpga/bin/lin64/scuba -w -n gram0 -lang vhdl -synth synplify -bus_exp 7 -bb -arch xo2c00 -type bram -wp 11 -rp 1010 -data_width 9 -rdata_width 9 -num_rows 7000 -outdataA REGISTERED -outdataB REGISTERED -cascade -1 -resetmode SYNC -sync_reset -memfile /home/markus/projects/cross-discipline/lw35-upgrade/display/tools/splash.mem -memformat bin -writemodeA NORMAL -writemodeB NORMAL
-- Sun May 10 13:18:44 2020
library IEEE;
use IEEE.std_logic_1164.all;
-- synopsys translate_off
library MACHXO2;
use MACHXO2.components.all;
-- synopsys translate_on
entity gram0 is
port (
DataInA: in std_logic_vector(8 downto 0);
DataInB: in std_logic_vector(8 downto 0);
AddressA: in std_logic_vector(12 downto 0);
AddressB: in std_logic_vector(12 downto 0);
ClockA: in std_logic;
ClockB: in std_logic;
ClockEnA: in std_logic;
ClockEnB: in std_logic;
WrA: in std_logic;
WrB: in std_logic;
ResetA: in std_logic;
ResetB: in std_logic;
QA: out std_logic_vector(8 downto 0);
QB: out std_logic_vector(8 downto 0));
end gram0;
architecture Structure of gram0 is
-- internal signal declarations
signal wren0_inv: std_logic;
signal wren1_inv: std_logic;
signal scuba_vhi: std_logic;
signal wren0_inv_g: std_logic;
signal addr010_ff: std_logic;
signal addr011_ff: std_logic;
signal addr012_ff: std_logic;
signal wren1_inv_g: std_logic;
signal addr110_ff: std_logic;
signal addr111_ff: std_logic;
signal addr112_ff: std_logic;
signal mdout0_6_0: std_logic;
signal mdout0_5_0: std_logic;
signal mdout0_4_0: std_logic;
signal mdout0_3_0: std_logic;
signal mdout0_2_0: std_logic;
signal mdout0_1_0: std_logic;
signal mdout0_0_0: std_logic;
signal mdout0_6_1: std_logic;
signal mdout0_5_1: std_logic;
signal mdout0_4_1: std_logic;
signal mdout0_3_1: std_logic;
signal mdout0_2_1: std_logic;
signal mdout0_1_1: std_logic;
signal mdout0_0_1: std_logic;
signal mdout0_6_2: std_logic;
signal mdout0_5_2: std_logic;
signal mdout0_4_2: std_logic;
signal mdout0_3_2: std_logic;
signal mdout0_2_2: std_logic;
signal mdout0_1_2: std_logic;
signal mdout0_0_2: std_logic;
signal mdout0_6_3: std_logic;
signal mdout0_5_3: std_logic;
signal mdout0_4_3: std_logic;
signal mdout0_3_3: std_logic;
signal mdout0_2_3: std_logic;
signal mdout0_1_3: std_logic;
signal mdout0_0_3: std_logic;
signal mdout0_6_4: std_logic;
signal mdout0_5_4: std_logic;
signal mdout0_4_4: std_logic;
signal mdout0_3_4: std_logic;
signal mdout0_2_4: std_logic;
signal mdout0_1_4: std_logic;
signal mdout0_0_4: std_logic;
signal mdout0_6_5: std_logic;
signal mdout0_5_5: std_logic;
signal mdout0_4_5: std_logic;
signal mdout0_3_5: std_logic;
signal mdout0_2_5: std_logic;
signal mdout0_1_5: std_logic;
signal mdout0_0_5: std_logic;
signal mdout0_6_6: std_logic;
signal mdout0_5_6: std_logic;
signal mdout0_4_6: std_logic;
signal mdout0_3_6: std_logic;
signal mdout0_2_6: std_logic;
signal mdout0_1_6: std_logic;
signal mdout0_0_6: std_logic;
signal mdout0_6_7: std_logic;
signal mdout0_5_7: std_logic;
signal mdout0_4_7: std_logic;
signal mdout0_3_7: std_logic;
signal mdout0_2_7: std_logic;
signal mdout0_1_7: std_logic;
signal mdout0_0_7: std_logic;
signal addr012_ff2: std_logic;
signal addr011_ff2: std_logic;
signal addr010_ff2: std_logic;
signal mdout0_6_8: std_logic;
signal mdout0_5_8: std_logic;
signal mdout0_4_8: std_logic;
signal mdout0_3_8: std_logic;
signal mdout0_2_8: std_logic;
signal mdout0_1_8: std_logic;
signal mdout0_0_8: std_logic;
signal mdout1_6_0: std_logic;
signal mdout1_5_0: std_logic;
signal mdout1_4_0: std_logic;
signal mdout1_3_0: std_logic;
signal mdout1_2_0: std_logic;
signal mdout1_1_0: std_logic;
signal mdout1_0_0: std_logic;
signal mdout1_6_1: std_logic;
signal mdout1_5_1: std_logic;
signal mdout1_4_1: std_logic;
signal mdout1_3_1: std_logic;
signal mdout1_2_1: std_logic;
signal mdout1_1_1: std_logic;
signal mdout1_0_1: std_logic;
signal mdout1_6_2: std_logic;
signal mdout1_5_2: std_logic;
signal mdout1_4_2: std_logic;
signal mdout1_3_2: std_logic;
signal mdout1_2_2: std_logic;
signal mdout1_1_2: std_logic;
signal mdout1_0_2: std_logic;
signal mdout1_6_3: std_logic;
signal mdout1_5_3: std_logic;
signal mdout1_4_3: std_logic;
signal mdout1_3_3: std_logic;
signal mdout1_2_3: std_logic;
signal mdout1_1_3: std_logic;
signal mdout1_0_3: std_logic;
signal mdout1_6_4: std_logic;
signal mdout1_5_4: std_logic;
signal mdout1_4_4: std_logic;
signal mdout1_3_4: std_logic;
signal mdout1_2_4: std_logic;
signal mdout1_1_4: std_logic;
signal mdout1_0_4: std_logic;
signal mdout1_6_5: std_logic;
signal mdout1_5_5: std_logic;
signal mdout1_4_5: std_logic;
signal mdout1_3_5: std_logic;
signal mdout1_2_5: std_logic;
signal mdout1_1_5: std_logic;
signal mdout1_0_5: std_logic;
signal mdout1_6_6: std_logic;
signal mdout1_5_6: std_logic;
signal mdout1_4_6: std_logic;
signal mdout1_3_6: std_logic;
signal mdout1_2_6: std_logic;
signal mdout1_1_6: std_logic;
signal mdout1_0_6: std_logic;
signal mdout1_6_7: std_logic;
signal mdout1_5_7: std_logic;
signal mdout1_4_7: std_logic;
signal mdout1_3_7: std_logic;
signal mdout1_2_7: std_logic;
signal mdout1_1_7: std_logic;
signal mdout1_0_7: std_logic;
signal addr112_ff2: std_logic;
signal addr111_ff2: std_logic;
signal addr110_ff2: std_logic;
signal scuba_vlo: std_logic;
signal mdout1_6_8: std_logic;
signal mdout1_5_8: std_logic;
signal mdout1_4_8: std_logic;
signal mdout1_3_8: std_logic;
signal mdout1_2_8: std_logic;
signal mdout1_1_8: std_logic;
signal mdout1_0_8: std_logic;
-- local component declarations
component AND2
port (A: in std_logic; B: in std_logic; Z: out std_logic);
end component;
component FD1P3DX
port (D: in std_logic; SP: in std_logic; CK: in std_logic;
CD: in std_logic; Q: out std_logic);
end component;
component INV
port (A: in std_logic; Z: out std_logic);
end component;
component MUX81
port (D0: in std_logic; D1: in std_logic; D2: in std_logic;
D3: in std_logic; D4: in std_logic; D5: in std_logic;
D6: in std_logic; D7: in std_logic; SD1: in std_logic;
SD2: in std_logic; SD3: in std_logic; Z: out std_logic);
end component;
component VHI
port (Z: out std_logic);
end component;
component VLO
port (Z: out std_logic);
end component;
component DP8KC
generic (INIT_DATA : in String; INITVAL_1F : in String;
INITVAL_1E : in String; INITVAL_1D : in String;
INITVAL_1C : in String; INITVAL_1B : in String;
INITVAL_1A : in String; INITVAL_19 : in String;
INITVAL_18 : in String; INITVAL_17 : in String;
INITVAL_16 : in String; INITVAL_15 : in String;
INITVAL_14 : in String; INITVAL_13 : in String;
INITVAL_12 : in String; INITVAL_11 : in String;
INITVAL_10 : in String; INITVAL_0F : in String;
INITVAL_0E : in String; INITVAL_0D : in String;
INITVAL_0C : in String; INITVAL_0B : in String;
INITVAL_0A : in String; INITVAL_09 : in String;
INITVAL_08 : in String; INITVAL_07 : in String;
INITVAL_06 : in String; INITVAL_05 : in String;
INITVAL_04 : in String; INITVAL_03 : in String;
INITVAL_02 : in String; INITVAL_01 : in String;
INITVAL_00 : in String; ASYNC_RESET_RELEASE : in String;
RESETMODE : in String; GSR : in String;
WRITEMODE_B : in String; WRITEMODE_A : in String;
CSDECODE_B : in String; CSDECODE_A : in String;
REGMODE_B : in String; REGMODE_A : in String;
DATA_WIDTH_B : in Integer; DATA_WIDTH_A : in Integer);
port (DIA8: in std_logic; DIA7: in std_logic;
DIA6: in std_logic; DIA5: in std_logic;
DIA4: in std_logic; DIA3: in std_logic;
DIA2: in std_logic; DIA1: in std_logic;
DIA0: in std_logic; ADA12: in std_logic;
ADA11: in std_logic; ADA10: in std_logic;
ADA9: in std_logic; ADA8: in std_logic;
ADA7: in std_logic; ADA6: in std_logic;
ADA5: in std_logic; ADA4: in std_logic;
ADA3: in std_logic; ADA2: in std_logic;
ADA1: in std_logic; ADA0: in std_logic; CEA: in std_logic;
OCEA: in std_logic; CLKA: in std_logic; WEA: in std_logic;
CSA2: in std_logic; CSA1: in std_logic;
CSA0: in std_logic; RSTA: in std_logic;
DIB8: in std_logic; DIB7: in std_logic;
DIB6: in std_logic; DIB5: in std_logic;
DIB4: in std_logic; DIB3: in std_logic;
DIB2: in std_logic; DIB1: in std_logic;
DIB0: in std_logic; ADB12: in std_logic;
ADB11: in std_logic; ADB10: in std_logic;
ADB9: in std_logic; ADB8: in std_logic;
ADB7: in std_logic; ADB6: in std_logic;
ADB5: in std_logic; ADB4: in std_logic;
ADB3: in std_logic; ADB2: in std_logic;
ADB1: in std_logic; ADB0: in std_logic; CEB: in std_logic;
OCEB: in std_logic; CLKB: in std_logic; WEB: in std_logic;
CSB2: in std_logic; CSB1: in std_logic;
CSB0: in std_logic; RSTB: in std_logic;
DOA8: out std_logic; DOA7: out std_logic;
DOA6: out std_logic; DOA5: out std_logic;
DOA4: out std_logic; DOA3: out std_logic;
DOA2: out std_logic; DOA1: out std_logic;
DOA0: out std_logic; DOB8: out std_logic;
DOB7: out std_logic; DOB6: out std_logic;
DOB5: out std_logic; DOB4: out std_logic;
DOB3: out std_logic; DOB2: out std_logic;
DOB1: out std_logic; DOB0: out std_logic);
end component;
attribute MEM_LPC_FILE : string;
attribute MEM_INIT_FILE : string;
attribute GSR : string;
attribute MEM_LPC_FILE of gram0_0_0_6 : label is "gram0.lpc";
attribute MEM_INIT_FILE of gram0_0_0_6 : label is "splash.mem";
attribute MEM_LPC_FILE of gram0_1_0_5 : label is "gram0.lpc";
attribute MEM_INIT_FILE of gram0_1_0_5 : label is "splash.mem";
attribute MEM_LPC_FILE of gram0_2_0_4 : label is "gram0.lpc";
attribute MEM_INIT_FILE of gram0_2_0_4 : label is "splash.mem";
attribute MEM_LPC_FILE of gram0_3_0_3 : label is "gram0.lpc";
attribute MEM_INIT_FILE of gram0_3_0_3 : label is "splash.mem";
attribute MEM_LPC_FILE of gram0_4_0_2 : label is "gram0.lpc";
attribute MEM_INIT_FILE of gram0_4_0_2 : label is "splash.mem";
attribute MEM_LPC_FILE of gram0_5_0_1 : label is "gram0.lpc";
attribute MEM_INIT_FILE of gram0_5_0_1 : label is "splash.mem";
attribute MEM_LPC_FILE of gram0_6_0_0 : label is "gram0.lpc";
attribute MEM_INIT_FILE of gram0_6_0_0 : label is "splash.mem";
attribute GSR of FF_11 : label is "ENABLED";
attribute GSR of FF_10 : label is "ENABLED";
attribute GSR of FF_9 : label is "ENABLED";
attribute GSR of FF_8 : label is "ENABLED";
attribute GSR of FF_7 : label is "ENABLED";
attribute GSR of FF_6 : label is "ENABLED";
attribute GSR of FF_5 : label is "ENABLED";
attribute GSR of FF_4 : label is "ENABLED";
attribute GSR of FF_3 : label is "ENABLED";
attribute GSR of FF_2 : label is "ENABLED";
attribute GSR of FF_1 : label is "ENABLED";
attribute GSR of FF_0 : label is "ENABLED";
attribute NGD_DRC_MASK : integer;
attribute NGD_DRC_MASK of Structure : architecture is 1;
begin
-- component instantiation statements
INV_1: INV
port map (A=>WrA, Z=>wren0_inv);
AND2_t1: AND2
port map (A=>wren0_inv, B=>ClockEnA, Z=>wren0_inv_g);
INV_0: INV
port map (A=>WrB, Z=>wren1_inv);
AND2_t0: AND2
port map (A=>wren1_inv, B=>ClockEnB, Z=>wren1_inv_g);
gram0_0_0_6: DP8KC
generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC",
INITVAL_1F=> "0x0F800000003E00000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1E=> "0x000000000000000000000000000000000000000000000000000010007C00001E000C000000000000",
INITVAL_1D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1C=> "0x000000000000000000000000000180006013821F0000000000000000000000000000000000000000",
INITVAL_1B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1A=> "0x001FC03DF80060100201000000000000000000000000000000000000000000000000000000000000",
INITVAL_19=> "0x0000000000000000000000000000000000000000000000000000000001FE3FE03002010000000000",
INITVAL_18=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_17=> "0x000000000000000000000000000000200FE000000000000000000000000000000000000000000000",
INITVAL_16=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_15=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_14=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_13=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_12=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_11=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_10=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_09=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_08=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_07=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_06=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_05=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_04=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_03=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_02=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_01=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_00=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL",
WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC",
REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9,
DATA_WIDTH_A=> 9)
port map (DIA8=>DataInA(8), DIA7=>DataInA(7), DIA6=>DataInA(6),
DIA5=>DataInA(5), DIA4=>DataInA(4), DIA3=>DataInA(3),
DIA2=>DataInA(2), DIA1=>DataInA(1), DIA0=>DataInA(0),
ADA12=>AddressA(9), ADA11=>AddressA(8), ADA10=>AddressA(7),
ADA9=>AddressA(6), ADA8=>AddressA(5), ADA7=>AddressA(4),
ADA6=>AddressA(3), ADA5=>AddressA(2), ADA4=>AddressA(1),
ADA3=>AddressA(0), ADA2=>scuba_vlo, ADA1=>scuba_vlo,
ADA0=>scuba_vhi, CEA=>ClockEnA, OCEA=>ClockEnA, CLKA=>ClockA,
WEA=>WrA, CSA2=>AddressA(12), CSA1=>AddressA(11),
CSA0=>AddressA(10), RSTA=>ResetA, DIB8=>DataInB(8),
DIB7=>DataInB(7), DIB6=>DataInB(6), DIB5=>DataInB(5),
DIB4=>DataInB(4), DIB3=>DataInB(3), DIB2=>DataInB(2),
DIB1=>DataInB(1), DIB0=>DataInB(0), ADB12=>AddressB(9),
ADB11=>AddressB(8), ADB10=>AddressB(7), ADB9=>AddressB(6),
ADB8=>AddressB(5), ADB7=>AddressB(4), ADB6=>AddressB(3),
ADB5=>AddressB(2), ADB4=>AddressB(1), ADB3=>AddressB(0),
ADB2=>scuba_vlo, ADB1=>scuba_vlo, ADB0=>scuba_vhi,
CEB=>ClockEnB, OCEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB,
CSB2=>AddressB(12), CSB1=>AddressB(11), CSB0=>AddressB(10),
RSTB=>ResetB, DOA8=>mdout0_0_8, DOA7=>mdout0_0_7,
DOA6=>mdout0_0_6, DOA5=>mdout0_0_5, DOA4=>mdout0_0_4,
DOA3=>mdout0_0_3, DOA2=>mdout0_0_2, DOA1=>mdout0_0_1,
DOA0=>mdout0_0_0, DOB8=>mdout1_0_8, DOB7=>mdout1_0_7,
DOB6=>mdout1_0_6, DOB5=>mdout1_0_5, DOB4=>mdout1_0_4,
DOB3=>mdout1_0_3, DOB2=>mdout1_0_2, DOB1=>mdout1_0_1,
DOB0=>mdout1_0_0);
gram0_1_0_5: DP8KC
generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC",
INITVAL_1F=> "0x01E013F2FB201DE01C0000000303871C10000C18002011C2010000000000200FE000003E00E00000",
INITVAL_1E=> "0x3F0063FC063F80E380FE301FE001FE003F101DFC003F903E003FC7E001801FE013E2FD0003800000",
INITVAL_1D=> "0x30383007E10187C000000020100000000003E00E001001FDC03FFFF0FFC103FF10FE013FC033FE0F",
INITVAL_1C=> "0x3F9FE3FCFE3F03E3C0FE001E03FC02301FE00D001FA0120207300063E01E3007E2000E2000600000",
INITVAL_1B=> "0x000000010001E01003F1383FF3FFFE303FF3FDFE003F83FDFE00FC13FFFF1FF013FFFF0FE01003FF",
INITVAL_1A=> "0x006013F27F201FE01C0000C000FC00004013820307C00060000000000000200FE000003E00E3FDFE",
INITVAL_19=> "0x3FE073F3FF3FE01203FF3FE3F003F93FFFF01FF13FFFF006013F81E0018007E013E2FF000E0000F0",
INITVAL_18=> "0x0020100200001F801D800020000000000003E006001000FDE03FFFF07E013FFFF01E013FDFE3FC00",
INITVAL_17=> "0x3E1FE3FC7E381FE3FC1E001C03FC00301FE005000FE010020D0781C0000000000381E23020000000",
INITVAL_16=> "0x000000018000E01003F93C3FF3FDFE003FC3FC3E001803FDFE002013F9FE0FE013F3FF00E01003FD",
INITVAL_15=> "0x002013FA1E201FE00C00038F03000200000000003020701A0000000000003007E000003F0063FDFE",
INITVAL_14=> "0x3FE03203FF01E01003F13FE01002013FFFF00E013FE1F002013F80E001C003E013E27F0018038006",
INITVAL_13=> "0x01C0000001200063C0E000000000000000000000000000000000000000003F01E00000201FE00400",
INITVAL_12=> "0x0000000000001F007C0000000000000000000000000000003000FC10060100000079000CE0100201",
INITVAL_11=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_10=> "0x000000000000000000003E0E60007800000001F0301F200C00000000000000000000000000000000",
INITVAL_0F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000007C1C",
INITVAL_0E=> "0x3C000000000F8000383C000000000000000000000000000000000000000000000000000000000000",
INITVAL_0D=> "0x000000000000000000000000000000000000000000000000E0070001F000001F0001E00600000000",
INITVAL_0C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0B=> "0x000000000000000000000010000C0000E013E2070E00000000000000000000000000000000000000",
INITVAL_0A=> "0x000000000000000000000000000000000000000000000000000000000000000000000000000001E0",
INITVAL_09=> "0x001F83FDFE0038100600000000000000000000000000000000000000000000000000000000000000",
INITVAL_08=> "0x0000000000000000000000000000000000000000000000000038002001F83FE010021F0000000000",
INITVAL_07=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_06=> "0x00000000000000000000000001E0000003E0000001C0000001000000000000000000000000000000",
INITVAL_05=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_04=> "0x00201002010003C00000000000000000000000000000000000000000000000000000000000000000",
INITVAL_03=> "0x000000000000000000000000000000000000000000000000000007800000000003E0000000000000",
INITVAL_02=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_01=> "0x00000000000000000000000002001E00000001C00020100000000000000000000000000000000000",
INITVAL_00=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL",
WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC",
REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9,
DATA_WIDTH_A=> 9)
port map (DIA8=>DataInA(8), DIA7=>DataInA(7), DIA6=>DataInA(6),
DIA5=>DataInA(5), DIA4=>DataInA(4), DIA3=>DataInA(3),
DIA2=>DataInA(2), DIA1=>DataInA(1), DIA0=>DataInA(0),
ADA12=>AddressA(9), ADA11=>AddressA(8), ADA10=>AddressA(7),
ADA9=>AddressA(6), ADA8=>AddressA(5), ADA7=>AddressA(4),
ADA6=>AddressA(3), ADA5=>AddressA(2), ADA4=>AddressA(1),
ADA3=>AddressA(0), ADA2=>scuba_vlo, ADA1=>scuba_vlo,
ADA0=>scuba_vhi, CEA=>ClockEnA, OCEA=>ClockEnA, CLKA=>ClockA,
WEA=>WrA, CSA2=>AddressA(12), CSA1=>AddressA(11),
CSA0=>AddressA(10), RSTA=>ResetA, DIB8=>DataInB(8),
DIB7=>DataInB(7), DIB6=>DataInB(6), DIB5=>DataInB(5),
DIB4=>DataInB(4), DIB3=>DataInB(3), DIB2=>DataInB(2),
DIB1=>DataInB(1), DIB0=>DataInB(0), ADB12=>AddressB(9),
ADB11=>AddressB(8), ADB10=>AddressB(7), ADB9=>AddressB(6),
ADB8=>AddressB(5), ADB7=>AddressB(4), ADB6=>AddressB(3),
ADB5=>AddressB(2), ADB4=>AddressB(1), ADB3=>AddressB(0),
ADB2=>scuba_vlo, ADB1=>scuba_vlo, ADB0=>scuba_vhi,
CEB=>ClockEnB, OCEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB,
CSB2=>AddressB(12), CSB1=>AddressB(11), CSB0=>AddressB(10),
RSTB=>ResetB, DOA8=>mdout0_1_8, DOA7=>mdout0_1_7,
DOA6=>mdout0_1_6, DOA5=>mdout0_1_5, DOA4=>mdout0_1_4,
DOA3=>mdout0_1_3, DOA2=>mdout0_1_2, DOA1=>mdout0_1_1,
DOA0=>mdout0_1_0, DOB8=>mdout1_1_8, DOB7=>mdout1_1_7,
DOB6=>mdout1_1_6, DOB5=>mdout1_1_5, DOB4=>mdout1_1_4,
DOB3=>mdout1_1_3, DOB2=>mdout1_1_2, DOB1=>mdout1_1_1,
DOB0=>mdout1_1_0);
gram0_2_0_4: DP8KC
generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC",
INITVAL_1F=> "0x003FE001F02020F003C0007FD3FFFF007F9207DF3C20F000062000324202000670020F0040600001",
INITVAL_1E=> "0x00000000001FC000010101E010038100E310020103FFD006013F8023C01E3E00E0C0003803E381FE",
INITVAL_1D=> "0x3FE3F3023F3BC0E1FE0100C0C1C10000E000C00400C380C0010000000000001F001C00200FE00000",
INITVAL_1C=> "0x3007E00000001FE3803E001C007C0007D011FE01000003F8023FDFF3FE0107CF81FBE10026118200",
INITVAL_1B=> "0x200430030101A1C301000020100000000002007E000003E006000003F002000003C01E03E01003FD",
INITVAL_1A=> "0x003FC005F000201001C0007FD3FFFF003F9387CF3C20700206060F10220C200C01E0602000200201",
INITVAL_19=> "0x00000000000FC000038100E01003C1006010020103FFF002013F802301FE3FE07002013803E381FE",
INITVAL_18=> "0x3FE0F3821F38D0F0FE0100D9C00DFC03000031F83E218060000000000000001F800C003007E00000",
INITVAL_17=> "0x3803E00000001FE3C01E001C007C003FDFF0FE01000003F80207D000FE0103C7F1FBF1002E13007E",
INITVAL_16=> "0x3C186000C00FD003FD000020000000000003007E000003F0063FDFF3FE03000003C11E03E01003FD",
INITVAL_15=> "0x303FE3FDFE00201001C0007F9007F9003FD3C1C23E2070020C1CC003F27F3C1FE001382020300201",
INITVAL_14=> "0x00000000000FC000038100FFD3FFFF006010020123EFF002013F8023FDFE3FE07002013803E3003E",
INITVAL_13=> "0x3F0023811E3070F0FE010183E018803FDFE0FC100303C060000000000000001F8004003003E381FE",
INITVAL_12=> "0x3FE1F00201001FE3E00E001C007DF03FFFF07E01000003F80207DC007E0123C1F1F3F1002C01E1C0",
INITVAL_11=> "0x1800001C30021C03018E0000000000000003803E000003F8023FDFF3FE01000003C11E01E01003FD",
INITVAL_10=> "0x3F3FE3FDFE00200001C0007F9007FD000FE3F1803F203003DC30200018C0031000040E33C0000000",
INITVAL_0F=> "0x000000000007C01003C1007FD3FFFF002010020123EFF002013F9C21FC003F802000003803E3003E",
INITVAL_0E=> "0x3FC003C18E3038F07E013F000060C0301800003000D8003C000000000000001FC004003803E3C1FE",
INITVAL_0D=> "0x3FE1F00201001FE3E00E001C007DFE003C007C00000003F8020FDE001C0139E0F3F3F9003C000D00",
INITVAL_0C=> "0x082010600C06070001E00000000000000003803E000003F802000003FC00000003E10E01E01003FF",
INITVAL_0B=> "0x3FC00001FC00200001E0003F120EFF000FE1F9803F2030021F000060080000F01204023800600000",
INITVAL_0A=> "0x000000000007C01003C100601003E1002010030121EFF002013FDE003C003F800000003C01E2007E",
INITVAL_09=> "0x0FC003C1EF3039F07C0000C001C2410003E00030004001E2010000000000001FE000003C01E00000",
INITVAL_08=> "0x3C00E00000200FE3E00E001E003CFE001E003C00000003FC000FDF8005013CE073F3FD0003E00000",
INITVAL_07=> "0x080013820006018000000040100201000003C01E000003FC00001001FC00000003F10601E01202FF",
INITVAL_06=> "0x1FC00001FF00000001F0003F130E3E2017E07D803FA011C2010001C0080000501186010003800000",
INITVAL_05=> "0x000000000003E01003E100201003F12020F003C020FFF002011FDF001E013FC0003C003F00E2007E",
INITVAL_04=> "0x03C013E3F7303DE03C000020130240000760003000201302020000000000001FE000003C01E00000",
INITVAL_03=> "0x3E10E1FC003803E3C01E001F820CFE001E003DFE001800FC001FDFC001003EE013E3FD0000C00000",
INITVAL_02=> "0x184000600F06006000000000000201000003C01E000003FC00001001FDE0002013FE0307E013827F",
INITVAL_01=> "0x3FC00001FE3F802001FC003E13DC0E201FE03D003FA013820100070019C0031000E0000001C00000",
INITVAL_00=> "0x000000000001E01003E100201003F03C23F001F0003FF0060107FE107E011FDC00FC003FC02000FE",
CSDECODE_B=> "0b010", CSDECODE_A=> "0b010", WRITEMODE_B=> "NORMAL",
WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC",
REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9,
DATA_WIDTH_A=> 9)
port map (DIA8=>DataInA(8), DIA7=>DataInA(7), DIA6=>DataInA(6),
DIA5=>DataInA(5), DIA4=>DataInA(4), DIA3=>DataInA(3),
DIA2=>DataInA(2), DIA1=>DataInA(1), DIA0=>DataInA(0),
ADA12=>AddressA(9), ADA11=>AddressA(8), ADA10=>AddressA(7),
ADA9=>AddressA(6), ADA8=>AddressA(5), ADA7=>AddressA(4),
ADA6=>AddressA(3), ADA5=>AddressA(2), ADA4=>AddressA(1),
ADA3=>AddressA(0), ADA2=>scuba_vlo, ADA1=>scuba_vlo,
ADA0=>scuba_vhi, CEA=>ClockEnA, OCEA=>ClockEnA, CLKA=>ClockA,
WEA=>WrA, CSA2=>AddressA(12), CSA1=>AddressA(11),
CSA0=>AddressA(10), RSTA=>ResetA, DIB8=>DataInB(8),
DIB7=>DataInB(7), DIB6=>DataInB(6), DIB5=>DataInB(5),
DIB4=>DataInB(4), DIB3=>DataInB(3), DIB2=>DataInB(2),
DIB1=>DataInB(1), DIB0=>DataInB(0), ADB12=>AddressB(9),
ADB11=>AddressB(8), ADB10=>AddressB(7), ADB9=>AddressB(6),
ADB8=>AddressB(5), ADB7=>AddressB(4), ADB6=>AddressB(3),
ADB5=>AddressB(2), ADB4=>AddressB(1), ADB3=>AddressB(0),
ADB2=>scuba_vlo, ADB1=>scuba_vlo, ADB0=>scuba_vhi,
CEB=>ClockEnB, OCEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB,
CSB2=>AddressB(12), CSB1=>AddressB(11), CSB0=>AddressB(10),
RSTB=>ResetB, DOA8=>mdout0_2_8, DOA7=>mdout0_2_7,
DOA6=>mdout0_2_6, DOA5=>mdout0_2_5, DOA4=>mdout0_2_4,
DOA3=>mdout0_2_3, DOA2=>mdout0_2_2, DOA1=>mdout0_2_1,
DOA0=>mdout0_2_0, DOB8=>mdout1_2_8, DOB7=>mdout1_2_7,
DOB6=>mdout1_2_6, DOB5=>mdout1_2_5, DOB4=>mdout1_2_4,
DOB3=>mdout1_2_3, DOB2=>mdout1_2_2, DOB1=>mdout1_2_1,
DOB0=>mdout1_2_0);
gram0_3_0_3: DP8KC
generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC",
INITVAL_1F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000018000006",
INITVAL_1E=> "0x080000600C060000C1C0000000000000000000000000000000000000000000000000000000000000",
INITVAL_1D=> "0x0000000000000000000000000000000000000000000000001800C000198103100004003020100000",
INITVAL_1C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1B=> "0x0000000000000000000003830000C018100004100000E03000000000000000000000000000000000",
INITVAL_1A=> "0x0000000000000000000000000000000000000000000000000000000000000000000000000C020002",
INITVAL_19=> "0x1800031C700200018180000000000000000000000000000000000000000000000000000000000000",
INITVAL_18=> "0x0000000000000000000000000000000000000000000000000C00000213FF3FF8F002012000300200",
INITVAL_17=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_16=> "0x0000000000000000000001818001C007E013FE1F0001C06000000000000000000000000000000000",
INITVAL_15=> "0x00000000000000000000000000000000000000000000000000000000000000000000000006030000",
INITVAL_14=> "0x3E182000C00F80030100002000000000000000000000000000000000000000000000000000000000",
INITVAL_13=> "0x00000000000000000000000000000000000000000000000006001E1036193008007C002000200201",
INITVAL_12=> "0x000000000000000000000000000000000003E03E00000001FE002010000000000001E000E0100201",
INITVAL_11=> "0x0000000000000000000000C0C30106018000610C002190620000000001C03FFFF07FFD3FFFF307FF",
INITVAL_10=> "0x3FFFF003E13FE01002013FE3F003F1002013FA033F9FE0050001E01202FF1FC0007D800066118201",
INITVAL_0F=> "0x200630030101A3C301000020100000381FE3FDFE3FBFE3FC3E3FDFF3FE1F3F1FE0FC00301FE3FC0E",
INITVAL_0E=> "0x3E01E001C0007F13FEFF200FE000000FDE001FF13023F00006000070620600046200060040600201",
INITVAL_0D=> "0x000003F9FE3FFC33FFFF07FFD3FFFF03FE13FFFF002013FBFE03E013FE013803E381FE3FE013E20F",
INITVAL_0C=> "0x001F800CFE3E0063F00200C0C060000063C0C007018300C00000000001C03FFFF03FFD3FFFF383FF",
INITVAL_0B=> "0x3FEFF203FF3FE1F003F13FFFF007E1006013FA013FFFF07E0101E013823F1FD800FDC00026118381",
INITVAL_0A=> "0x000363E006005812020200201000003C1FE3FDFE3FDFE3FC1E3FDFF3FE0F3FDFE3FE033F1FE3FC7E",
INITVAL_09=> "0x3803E001E0203FF3FFFF002FF0000007DF100FF93821F00006100013830001E3D002190040600001",
INITVAL_08=> "0x000003FDFE3FFE13FFFF03FFD3FFFF01FFD03FF100FC13FE031FE013FA073C01E3F03E3FC0E3C00E",
INITVAL_07=> "0x001FE2007E3F8063F80000C0C01800300FF30203020300C0000000000000001E003C00001FE00000",
INITVAL_06=> "0x200FE3C07E380FE001FE003F901D8000E013FFC101F813FE0103E013C20F0FDC00FDC100261182C1",
INITVAL_05=> "0x0000C3F00E0010020203002010000000000001FE000003C01E000003E00E03E013F20F3FC02201FF",
INITVAL_04=> "0x300FE001E03823F003F1003FF0030101FF100FFF3821F00006180013830001E39002110040600001",
INITVAL_03=> "0x00000000001FC000000001E010030120EFF0030101FF103E013FC003E00E3C00E3FC003C01E3C01E",
INITVAL_02=> "0x3007E2007E3FC061F80000C0C01000200FF38203030300C0000000000000001F001C00200FE00000",
INITVAL_01=> "0x3007E3C00E201FE300FE001E007D0001F011FFC1002013FE033FDFF3FE0707DF00FFE10026118380",
INITVAL_00=> "0x000363C00600CC120202002010000000000200FE000003E00E000003F00600C003C21F0FC00003FD",
CSDECODE_B=> "0b011", CSDECODE_A=> "0b011", WRITEMODE_B=> "NORMAL",
WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC",
REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9,
DATA_WIDTH_A=> 9)
port map (DIA8=>DataInA(8), DIA7=>DataInA(7), DIA6=>DataInA(6),
DIA5=>DataInA(5), DIA4=>DataInA(4), DIA3=>DataInA(3),
DIA2=>DataInA(2), DIA1=>DataInA(1), DIA0=>DataInA(0),
ADA12=>AddressA(9), ADA11=>AddressA(8), ADA10=>AddressA(7),
ADA9=>AddressA(6), ADA8=>AddressA(5), ADA7=>AddressA(4),
ADA6=>AddressA(3), ADA5=>AddressA(2), ADA4=>AddressA(1),
ADA3=>AddressA(0), ADA2=>scuba_vlo, ADA1=>scuba_vlo,
ADA0=>scuba_vhi, CEA=>ClockEnA, OCEA=>ClockEnA, CLKA=>ClockA,
WEA=>WrA, CSA2=>AddressA(12), CSA1=>AddressA(11),
CSA0=>AddressA(10), RSTA=>ResetA, DIB8=>DataInB(8),
DIB7=>DataInB(7), DIB6=>DataInB(6), DIB5=>DataInB(5),
DIB4=>DataInB(4), DIB3=>DataInB(3), DIB2=>DataInB(2),
DIB1=>DataInB(1), DIB0=>DataInB(0), ADB12=>AddressB(9),
ADB11=>AddressB(8), ADB10=>AddressB(7), ADB9=>AddressB(6),
ADB8=>AddressB(5), ADB7=>AddressB(4), ADB6=>AddressB(3),
ADB5=>AddressB(2), ADB4=>AddressB(1), ADB3=>AddressB(0),
ADB2=>scuba_vlo, ADB1=>scuba_vlo, ADB0=>scuba_vhi,
CEB=>ClockEnB, OCEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB,
CSB2=>AddressB(12), CSB1=>AddressB(11), CSB0=>AddressB(10),
RSTB=>ResetB, DOA8=>mdout0_3_8, DOA7=>mdout0_3_7,
DOA6=>mdout0_3_6, DOA5=>mdout0_3_5, DOA4=>mdout0_3_4,
DOA3=>mdout0_3_3, DOA2=>mdout0_3_2, DOA1=>mdout0_3_1,
DOA0=>mdout0_3_0, DOB8=>mdout1_3_8, DOB7=>mdout1_3_7,
DOB6=>mdout1_3_6, DOB5=>mdout1_3_5, DOB4=>mdout1_3_4,
DOB3=>mdout1_3_3, DOB2=>mdout1_3_2, DOB1=>mdout1_3_1,
DOB0=>mdout1_3_0);
gram0_4_0_2: DP8KC
generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC",
INITVAL_1F=> "0x000002007E200060C0003F00000030180000E000000013820F30006060000020F302000001C20006",
INITVAL_1E=> "0x3E0060000000C003000200180005C001A012301E380000000000000000003FC010C201003F10FC00",
INITVAL_1D=> "0x06000039000023C0018007E010F3010021E1C000000003020100DC03FC010006000000004000021D",
INITVAL_1C=> "0x3E0C0001800F8000700000000000000000E0780000207303060030100780300023C0023818200D80",
INITVAL_1B=> "0x202033C2000021900200004302020F0040100207000380000001D8000C0C3010003D000020100000",
INITVAL_1A=> "0x000000E1800021C0C0000001D0023130002030000060101CE13001C060000060F300010003030002",
INITVAL_19=> "0x004700000100C0030002000700001E03800031C00020E00000000000010020206300000021D30002",
INITVAL_18=> "0x06000061C000076000E03800037100002761C0000000020207000300020F00060000000040030203",
INITVAL_17=> "0x01DC03FDFF200061800000000000002000200000004061820100500007013FE010E2070C00003980",
INITVAL_16=> "0x206020C20700219002003FC1E2000301C0000006000380000000039003FD3FE013C2010000100201",
INITVAL_15=> "0x000000E000000700C0000023100230201FE01D0001F0000D80300320600001E0F30000001E03FC00",
INITVAL_14=> "0x004C00000100C00300020000C3800000000000000043900201000000000000201203FE0020F20006",
INITVAL_13=> "0x060000C0E0200C2000703000207106003C61C000000000020F001F100E0C3C07E001FE006011C201",
INITVAL_12=> "0x002010020000070200060000000000000FC000003FC070C20100D00004000C2010720C0600006180",
INITVAL_11=> "0x21E020C01800219002000180E2007E019803FC063FC3E0010020207380060003E0000E00C0000000",
INITVAL_10=> "0x000002007E0000E0C00000261000300000C00D800390000500302C2060000720F30000000C00C000",
INITVAL_0F=> "0x000C00003C00C0030002200020710003E013F20F038E00000000000000003F0000C0000020F20006",
INITVAL_0E=> "0x060001807038180000703000207118003061C400000000021D002010000C038600000000400033C1",
INITVAL_0D=> "0x3018000CE0039800041C00000000000000003900002071C00000500004010600101A390E00003180",
INITVAL_0C=> "0x272020C06000219002002300600000018C00000600038001C01C000010E00E180000300300000000",
INITVAL_0B=> "0x0000000180202060C000002390023000198004C00710000DC030102064001C20F300000018006000",
INITVAL_0A=> "0x000E00000C00C00300021800000CC0301C0000100E180004000000000000300020E0000021D30002",
INITVAL_09=> "0x06000300181C100004E03800007170002061D8000000000331002600000E01860000000040001E31",
INITVAL_08=> "0x180000600E0600001C3000000000000000607100002073800000101006010340000E711C00001D80",
INITVAL_07=> "0x3C2020C1800001900200360002000600DC0000070003800060070000080000F01006C10E00000000",
INITVAL_06=> "0x000001C1C00000E0C00000C0E00231001F0000700C0000787030002078003020F300000010003C00",
INITVAL_05=> "0x03C380003C00C00300020E180000400003C000303800000C0000000000001FC01383FF003F10FC00",
INITVAL_04=> "0x3FC1F2020F0E00001D8007E01073C1002061E00000000003E1001C03FC003F07E001FE007010061D",
INITVAL_03=> "0x080003800006000030600000000000001F800C003FC06003FD001FC3FC0001D00004E0000FE00180",
INITVAL_02=> "0x302020C10000219002001C000001F0002013FC063FC3E00030018000080000701007011820000000",
INITVAL_01=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_00=> "0x00000000000000000000070600004020066000302000201800000000000000000000000000000000",
CSDECODE_B=> "0b100", CSDECODE_A=> "0b100", WRITEMODE_B=> "NORMAL",
WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC",
REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9,
DATA_WIDTH_A=> 9)
port map (DIA8=>DataInA(8), DIA7=>DataInA(7), DIA6=>DataInA(6),
DIA5=>DataInA(5), DIA4=>DataInA(4), DIA3=>DataInA(3),
DIA2=>DataInA(2), DIA1=>DataInA(1), DIA0=>DataInA(0),
ADA12=>AddressA(9), ADA11=>AddressA(8), ADA10=>AddressA(7),
ADA9=>AddressA(6), ADA8=>AddressA(5), ADA7=>AddressA(4),
ADA6=>AddressA(3), ADA5=>AddressA(2), ADA4=>AddressA(1),
ADA3=>AddressA(0), ADA2=>scuba_vlo, ADA1=>scuba_vlo,
ADA0=>scuba_vhi, CEA=>ClockEnA, OCEA=>ClockEnA, CLKA=>ClockA,
WEA=>WrA, CSA2=>AddressA(12), CSA1=>AddressA(11),
CSA0=>AddressA(10), RSTA=>ResetA, DIB8=>DataInB(8),
DIB7=>DataInB(7), DIB6=>DataInB(6), DIB5=>DataInB(5),
DIB4=>DataInB(4), DIB3=>DataInB(3), DIB2=>DataInB(2),
DIB1=>DataInB(1), DIB0=>DataInB(0), ADB12=>AddressB(9),
ADB11=>AddressB(8), ADB10=>AddressB(7), ADB9=>AddressB(6),
ADB8=>AddressB(5), ADB7=>AddressB(4), ADB6=>AddressB(3),
ADB5=>AddressB(2), ADB4=>AddressB(1), ADB3=>AddressB(0),
ADB2=>scuba_vlo, ADB1=>scuba_vlo, ADB0=>scuba_vhi,
CEB=>ClockEnB, OCEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB,
CSB2=>AddressB(12), CSB1=>AddressB(11), CSB0=>AddressB(10),
RSTB=>ResetB, DOA8=>mdout0_4_8, DOA7=>mdout0_4_7,
DOA6=>mdout0_4_6, DOA5=>mdout0_4_5, DOA4=>mdout0_4_4,
DOA3=>mdout0_4_3, DOA2=>mdout0_4_2, DOA1=>mdout0_4_1,
DOA0=>mdout0_4_0, DOB8=>mdout1_4_8, DOB7=>mdout1_4_7,
DOB6=>mdout1_4_6, DOB5=>mdout1_4_5, DOB4=>mdout1_4_4,
DOB3=>mdout1_4_3, DOB2=>mdout1_4_2, DOB1=>mdout1_4_1,
DOB0=>mdout1_4_0);
gram0_5_0_1: DP8KC
generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC",
INITVAL_1F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1E=> "0x0000000000000000000000000000000000000000000000000000000001FC3FE01002010000000000",
INITVAL_1D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1C=> "0x0000000000000000000000000000003F9FE03C000000000000000000000000000000000000000000",
INITVAL_1B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1A=> "0x001C0001800000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_19=> "0x00000000000000000000000000000000000000000000000000000000000C30000000000000000000",
INITVAL_18=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_17=> "0x00000000000000000000000000000001800030000000000000000000000000000000000000000000",
INITVAL_16=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_15=> "0x000C0001800000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_14=> "0x00000000000000000000000000000000000000000000000000000000000C30000000000000000000",
INITVAL_13=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_12=> "0x00000000000000000000000000000001C000F0010000000000000000000000000000000000000000",
INITVAL_11=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_10=> "0x000FE0018000E0100201000000000000000000000000000000000000000000000000000000000000",
INITVAL_0F=> "0x00000000000000000000000000000000000000000000000000000003F80C301FE000000000000000",
INITVAL_0E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0D=> "0x0000000000000000000000000001F001A00030FC0000000000000000000000000000000000000000",
INITVAL_0C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0B=> "0x0F8C0001803C00200000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0A=> "0x00000000000000000000000000000000000000000000000000001F00000C300001F0000000000000",
INITVAL_09=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_08=> "0x00000000000000000000000003800601800030000020100201000000000000000000000000000000",
INITVAL_07=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_06=> "0x002C1003810007800000000000000000000000000000000000000000000000000000000000000000",
INITVAL_05=> "0x00000000000000000000000000000000000000000000000000300060000C300002000E0000000000",
INITVAL_04=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_03=> "0x00000000000000000000000000780001800070000380000000000000000000000000000000000000",
INITVAL_02=> "0x000000000000000000000000000000000000000000000000000000000000000000000000000000E0",
INITVAL_01=> "0x000F8001800038100E01000000000000000000000000000000000000000000000000000000000000",
INITVAL_00=> "0x000000000000000000000000000000000000000000000000000E0003E00E3007E000F00000000000",
CSDECODE_B=> "0b101", CSDECODE_A=> "0b101", WRITEMODE_B=> "NORMAL",
WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC",
REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9,
DATA_WIDTH_A=> 9)
port map (DIA8=>DataInA(8), DIA7=>DataInA(7), DIA6=>DataInA(6),
DIA5=>DataInA(5), DIA4=>DataInA(4), DIA3=>DataInA(3),
DIA2=>DataInA(2), DIA1=>DataInA(1), DIA0=>DataInA(0),
ADA12=>AddressA(9), ADA11=>AddressA(8), ADA10=>AddressA(7),
ADA9=>AddressA(6), ADA8=>AddressA(5), ADA7=>AddressA(4),
ADA6=>AddressA(3), ADA5=>AddressA(2), ADA4=>AddressA(1),
ADA3=>AddressA(0), ADA2=>scuba_vlo, ADA1=>scuba_vlo,
ADA0=>scuba_vhi, CEA=>ClockEnA, OCEA=>ClockEnA, CLKA=>ClockA,
WEA=>WrA, CSA2=>AddressA(12), CSA1=>AddressA(11),
CSA0=>AddressA(10), RSTA=>ResetA, DIB8=>DataInB(8),
DIB7=>DataInB(7), DIB6=>DataInB(6), DIB5=>DataInB(5),
DIB4=>DataInB(4), DIB3=>DataInB(3), DIB2=>DataInB(2),
DIB1=>DataInB(1), DIB0=>DataInB(0), ADB12=>AddressB(9),
ADB11=>AddressB(8), ADB10=>AddressB(7), ADB9=>AddressB(6),
ADB8=>AddressB(5), ADB7=>AddressB(4), ADB6=>AddressB(3),
ADB5=>AddressB(2), ADB4=>AddressB(1), ADB3=>AddressB(0),
ADB2=>scuba_vlo, ADB1=>scuba_vlo, ADB0=>scuba_vhi,
CEB=>ClockEnB, OCEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB,
CSB2=>AddressB(12), CSB1=>AddressB(11), CSB0=>AddressB(10),
RSTB=>ResetB, DOA8=>mdout0_5_8, DOA7=>mdout0_5_7,
DOA6=>mdout0_5_6, DOA5=>mdout0_5_5, DOA4=>mdout0_5_4,
DOA3=>mdout0_5_3, DOA2=>mdout0_5_2, DOA1=>mdout0_5_1,
DOA0=>mdout0_5_0, DOB8=>mdout1_5_8, DOB7=>mdout1_5_7,
DOB6=>mdout1_5_6, DOB5=>mdout1_5_5, DOB4=>mdout1_5_4,
DOB3=>mdout1_5_3, DOB2=>mdout1_5_2, DOB1=>mdout1_5_1,
DOB0=>mdout1_5_0);
scuba_vhi_inst: VHI
port map (Z=>scuba_vhi);
gram0_6_0_0: DP8KC
generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC",
INITVAL_1F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_19=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_18=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_17=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_16=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_15=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_14=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_13=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_12=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_11=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_10=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_09=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_08=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_07=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_06=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_05=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_04=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_03=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_02=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_01=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_00=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
CSDECODE_B=> "0b110", CSDECODE_A=> "0b110", WRITEMODE_B=> "NORMAL",
WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC",
REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9,
DATA_WIDTH_A=> 9)
port map (DIA8=>DataInA(8), DIA7=>DataInA(7), DIA6=>DataInA(6),
DIA5=>DataInA(5), DIA4=>DataInA(4), DIA3=>DataInA(3),
DIA2=>DataInA(2), DIA1=>DataInA(1), DIA0=>DataInA(0),
ADA12=>AddressA(9), ADA11=>AddressA(8), ADA10=>AddressA(7),
ADA9=>AddressA(6), ADA8=>AddressA(5), ADA7=>AddressA(4),
ADA6=>AddressA(3), ADA5=>AddressA(2), ADA4=>AddressA(1),
ADA3=>AddressA(0), ADA2=>scuba_vlo, ADA1=>scuba_vlo,
ADA0=>scuba_vhi, CEA=>ClockEnA, OCEA=>ClockEnA, CLKA=>ClockA,
WEA=>WrA, CSA2=>AddressA(12), CSA1=>AddressA(11),
CSA0=>AddressA(10), RSTA=>ResetA, DIB8=>DataInB(8),
DIB7=>DataInB(7), DIB6=>DataInB(6), DIB5=>DataInB(5),
DIB4=>DataInB(4), DIB3=>DataInB(3), DIB2=>DataInB(2),
DIB1=>DataInB(1), DIB0=>DataInB(0), ADB12=>AddressB(9),
ADB11=>AddressB(8), ADB10=>AddressB(7), ADB9=>AddressB(6),
ADB8=>AddressB(5), ADB7=>AddressB(4), ADB6=>AddressB(3),
ADB5=>AddressB(2), ADB4=>AddressB(1), ADB3=>AddressB(0),
ADB2=>scuba_vlo, ADB1=>scuba_vlo, ADB0=>scuba_vhi,
CEB=>ClockEnB, OCEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB,
CSB2=>AddressB(12), CSB1=>AddressB(11), CSB0=>AddressB(10),
RSTB=>ResetB, DOA8=>mdout0_6_8, DOA7=>mdout0_6_7,
DOA6=>mdout0_6_6, DOA5=>mdout0_6_5, DOA4=>mdout0_6_4,
DOA3=>mdout0_6_3, DOA2=>mdout0_6_2, DOA1=>mdout0_6_1,
DOA0=>mdout0_6_0, DOB8=>mdout1_6_8, DOB7=>mdout1_6_7,
DOB6=>mdout1_6_6, DOB5=>mdout1_6_5, DOB4=>mdout1_6_4,
DOB3=>mdout1_6_3, DOB2=>mdout1_6_2, DOB1=>mdout1_6_1,
DOB0=>mdout1_6_0);
FF_11: FD1P3DX
port map (D=>AddressA(10), SP=>wren0_inv_g, CK=>ClockA,
CD=>scuba_vlo, Q=>addr010_ff);
FF_10: FD1P3DX
port map (D=>AddressA(11), SP=>wren0_inv_g, CK=>ClockA,
CD=>scuba_vlo, Q=>addr011_ff);
FF_9: FD1P3DX
port map (D=>AddressA(12), SP=>wren0_inv_g, CK=>ClockA,
CD=>scuba_vlo, Q=>addr012_ff);
FF_8: FD1P3DX
port map (D=>addr010_ff, SP=>ClockEnA, CK=>ClockA, CD=>scuba_vlo,
Q=>addr010_ff2);
FF_7: FD1P3DX
port map (D=>addr011_ff, SP=>ClockEnA, CK=>ClockA, CD=>scuba_vlo,
Q=>addr011_ff2);
FF_6: FD1P3DX
port map (D=>addr012_ff, SP=>ClockEnA, CK=>ClockA, CD=>scuba_vlo,
Q=>addr012_ff2);
FF_5: FD1P3DX
port map (D=>AddressB(10), SP=>wren1_inv_g, CK=>ClockB,
CD=>scuba_vlo, Q=>addr110_ff);
FF_4: FD1P3DX
port map (D=>AddressB(11), SP=>wren1_inv_g, CK=>ClockB,
CD=>scuba_vlo, Q=>addr111_ff);
FF_3: FD1P3DX
port map (D=>AddressB(12), SP=>wren1_inv_g, CK=>ClockB,
CD=>scuba_vlo, Q=>addr112_ff);
FF_2: FD1P3DX
port map (D=>addr110_ff, SP=>ClockEnB, CK=>ClockB, CD=>scuba_vlo,
Q=>addr110_ff2);
FF_1: FD1P3DX
port map (D=>addr111_ff, SP=>ClockEnB, CK=>ClockB, CD=>scuba_vlo,
Q=>addr111_ff2);
FF_0: FD1P3DX
port map (D=>addr112_ff, SP=>ClockEnB, CK=>ClockB, CD=>scuba_vlo,
Q=>addr112_ff2);
mux_17: MUX81
port map (D0=>mdout0_0_0, D1=>mdout0_1_0, D2=>mdout0_2_0,
D3=>mdout0_3_0, D4=>mdout0_4_0, D5=>mdout0_5_0,
D6=>mdout0_6_0, D7=>scuba_vlo, SD1=>addr010_ff2,
SD2=>addr011_ff2, SD3=>addr012_ff2, Z=>QA(0));
mux_16: MUX81
port map (D0=>mdout0_0_1, D1=>mdout0_1_1, D2=>mdout0_2_1,
D3=>mdout0_3_1, D4=>mdout0_4_1, D5=>mdout0_5_1,
D6=>mdout0_6_1, D7=>scuba_vlo, SD1=>addr010_ff2,
SD2=>addr011_ff2, SD3=>addr012_ff2, Z=>QA(1));
mux_15: MUX81
port map (D0=>mdout0_0_2, D1=>mdout0_1_2, D2=>mdout0_2_2,
D3=>mdout0_3_2, D4=>mdout0_4_2, D5=>mdout0_5_2,
D6=>mdout0_6_2, D7=>scuba_vlo, SD1=>addr010_ff2,
SD2=>addr011_ff2, SD3=>addr012_ff2, Z=>QA(2));
mux_14: MUX81
port map (D0=>mdout0_0_3, D1=>mdout0_1_3, D2=>mdout0_2_3,
D3=>mdout0_3_3, D4=>mdout0_4_3, D5=>mdout0_5_3,
D6=>mdout0_6_3, D7=>scuba_vlo, SD1=>addr010_ff2,
SD2=>addr011_ff2, SD3=>addr012_ff2, Z=>QA(3));
mux_13: MUX81
port map (D0=>mdout0_0_4, D1=>mdout0_1_4, D2=>mdout0_2_4,
D3=>mdout0_3_4, D4=>mdout0_4_4, D5=>mdout0_5_4,
D6=>mdout0_6_4, D7=>scuba_vlo, SD1=>addr010_ff2,
SD2=>addr011_ff2, SD3=>addr012_ff2, Z=>QA(4));
mux_12: MUX81
port map (D0=>mdout0_0_5, D1=>mdout0_1_5, D2=>mdout0_2_5,
D3=>mdout0_3_5, D4=>mdout0_4_5, D5=>mdout0_5_5,
D6=>mdout0_6_5, D7=>scuba_vlo, SD1=>addr010_ff2,
SD2=>addr011_ff2, SD3=>addr012_ff2, Z=>QA(5));
mux_11: MUX81
port map (D0=>mdout0_0_6, D1=>mdout0_1_6, D2=>mdout0_2_6,
D3=>mdout0_3_6, D4=>mdout0_4_6, D5=>mdout0_5_6,
D6=>mdout0_6_6, D7=>scuba_vlo, SD1=>addr010_ff2,
SD2=>addr011_ff2, SD3=>addr012_ff2, Z=>QA(6));
mux_10: MUX81
port map (D0=>mdout0_0_7, D1=>mdout0_1_7, D2=>mdout0_2_7,
D3=>mdout0_3_7, D4=>mdout0_4_7, D5=>mdout0_5_7,
D6=>mdout0_6_7, D7=>scuba_vlo, SD1=>addr010_ff2,
SD2=>addr011_ff2, SD3=>addr012_ff2, Z=>QA(7));
mux_9: MUX81
port map (D0=>mdout0_0_8, D1=>mdout0_1_8, D2=>mdout0_2_8,
D3=>mdout0_3_8, D4=>mdout0_4_8, D5=>mdout0_5_8,
D6=>mdout0_6_8, D7=>scuba_vlo, SD1=>addr010_ff2,
SD2=>addr011_ff2, SD3=>addr012_ff2, Z=>QA(8));
mux_8: MUX81
port map (D0=>mdout1_0_0, D1=>mdout1_1_0, D2=>mdout1_2_0,
D3=>mdout1_3_0, D4=>mdout1_4_0, D5=>mdout1_5_0,
D6=>mdout1_6_0, D7=>scuba_vlo, SD1=>addr110_ff2,
SD2=>addr111_ff2, SD3=>addr112_ff2, Z=>QB(0));
mux_7: MUX81
port map (D0=>mdout1_0_1, D1=>mdout1_1_1, D2=>mdout1_2_1,
D3=>mdout1_3_1, D4=>mdout1_4_1, D5=>mdout1_5_1,
D6=>mdout1_6_1, D7=>scuba_vlo, SD1=>addr110_ff2,
SD2=>addr111_ff2, SD3=>addr112_ff2, Z=>QB(1));
mux_6: MUX81
port map (D0=>mdout1_0_2, D1=>mdout1_1_2, D2=>mdout1_2_2,
D3=>mdout1_3_2, D4=>mdout1_4_2, D5=>mdout1_5_2,
D6=>mdout1_6_2, D7=>scuba_vlo, SD1=>addr110_ff2,
SD2=>addr111_ff2, SD3=>addr112_ff2, Z=>QB(2));
mux_5: MUX81
port map (D0=>mdout1_0_3, D1=>mdout1_1_3, D2=>mdout1_2_3,
D3=>mdout1_3_3, D4=>mdout1_4_3, D5=>mdout1_5_3,
D6=>mdout1_6_3, D7=>scuba_vlo, SD1=>addr110_ff2,
SD2=>addr111_ff2, SD3=>addr112_ff2, Z=>QB(3));
mux_4: MUX81
port map (D0=>mdout1_0_4, D1=>mdout1_1_4, D2=>mdout1_2_4,
D3=>mdout1_3_4, D4=>mdout1_4_4, D5=>mdout1_5_4,
D6=>mdout1_6_4, D7=>scuba_vlo, SD1=>addr110_ff2,
SD2=>addr111_ff2, SD3=>addr112_ff2, Z=>QB(4));
mux_3: MUX81
port map (D0=>mdout1_0_5, D1=>mdout1_1_5, D2=>mdout1_2_5,
D3=>mdout1_3_5, D4=>mdout1_4_5, D5=>mdout1_5_5,
D6=>mdout1_6_5, D7=>scuba_vlo, SD1=>addr110_ff2,
SD2=>addr111_ff2, SD3=>addr112_ff2, Z=>QB(5));
mux_2: MUX81
port map (D0=>mdout1_0_6, D1=>mdout1_1_6, D2=>mdout1_2_6,
D3=>mdout1_3_6, D4=>mdout1_4_6, D5=>mdout1_5_6,
D6=>mdout1_6_6, D7=>scuba_vlo, SD1=>addr110_ff2,
SD2=>addr111_ff2, SD3=>addr112_ff2, Z=>QB(6));
mux_1: MUX81
port map (D0=>mdout1_0_7, D1=>mdout1_1_7, D2=>mdout1_2_7,
D3=>mdout1_3_7, D4=>mdout1_4_7, D5=>mdout1_5_7,
D6=>mdout1_6_7, D7=>scuba_vlo, SD1=>addr110_ff2,
SD2=>addr111_ff2, SD3=>addr112_ff2, Z=>QB(7));
scuba_vlo_inst: VLO
port map (Z=>scuba_vlo);
mux_0: MUX81
port map (D0=>mdout1_0_8, D1=>mdout1_1_8, D2=>mdout1_2_8,
D3=>mdout1_3_8, D4=>mdout1_4_8, D5=>mdout1_5_8,
D6=>mdout1_6_8, D7=>scuba_vlo, SD1=>addr110_ff2,
SD2=>addr111_ff2, SD3=>addr112_ff2, Z=>QB(8));
end Structure;
-- synopsys translate_off
library MACHXO2;
configuration Structure_CON of gram0 is
for Structure
for all:AND2 use entity MACHXO2.AND2(V); end for;
for all:FD1P3DX use entity MACHXO2.FD1P3DX(V); end for;
for all:INV use entity MACHXO2.INV(V); end for;
for all:MUX81 use entity MACHXO2.MUX81(V); end for;
for all:VHI use entity MACHXO2.VHI(V); end for;
for all:VLO use entity MACHXO2.VLO(V); end for;
for all:DP8KC use entity MACHXO2.DP8KC(V); end for;
end for;
end Structure_CON;
-- synopsys translate_on