lw35-upgrade/display/fpga/ip/gram0/gram0.naf

71 lines
888 B
Plaintext

DataInA[8] i
DataInA[7] i
DataInA[6] i
DataInA[5] i
DataInA[4] i
DataInA[3] i
DataInA[2] i
DataInA[1] i
DataInA[0] i
DataInB[8] i
DataInB[7] i
DataInB[6] i
DataInB[5] i
DataInB[4] i
DataInB[3] i
DataInB[2] i
DataInB[1] i
DataInB[0] i
AddressA[12] i
AddressA[11] i
AddressA[10] i
AddressA[9] i
AddressA[8] i
AddressA[7] i
AddressA[6] i
AddressA[5] i
AddressA[4] i
AddressA[3] i
AddressA[2] i
AddressA[1] i
AddressA[0] i
AddressB[12] i
AddressB[11] i
AddressB[10] i
AddressB[9] i
AddressB[8] i
AddressB[7] i
AddressB[6] i
AddressB[5] i
AddressB[4] i
AddressB[3] i
AddressB[2] i
AddressB[1] i
AddressB[0] i
ClockA i
ClockB i
ClockEnA i
ClockEnB i
WrA i
WrB i
ResetA i
ResetB i
QA[8] o
QA[7] o
QA[6] o
QA[5] o
QA[4] o
QA[3] o
QA[2] o
QA[1] o
QA[0] o
QB[8] o
QB[7] o
QB[6] o
QB[5] o
QB[4] o
QB[3] o
QB[2] o
QB[1] o
QB[0] o