lw35-upgrade/display/fpga/ip/gram0/gram0.vhd

944 lines
57 KiB
VHDL

-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.10.0.111.2
-- Module Version: 7.5
--/usr/local/diamond/3.10_x64/ispfpga/bin/lin64/scuba -w -n gram0 -lang vhdl -synth synplify -bus_exp 7 -bb -arch xo2c00 -type bram -wp 11 -rp 1010 -data_width 9 -rdata_width 9 -num_rows 7000 -outdataA REGISTERED -outdataB REGISTERED -cascade -1 -resetmode SYNC -sync_reset -memfile /home/markus/projects/workspaceSigasi/lmg6202/bin/gram_init.mem -memformat bin -writemodeA NORMAL -writemodeB NORMAL
-- Sun Apr 22 17:34:06 2018
library IEEE;
use IEEE.std_logic_1164.all;
-- synopsys translate_off
library MACHXO2;
use MACHXO2.components.all;
-- synopsys translate_on
entity gram0 is
port (
DataInA: in std_logic_vector(8 downto 0);
DataInB: in std_logic_vector(8 downto 0);
AddressA: in std_logic_vector(12 downto 0);
AddressB: in std_logic_vector(12 downto 0);
ClockA: in std_logic;
ClockB: in std_logic;
ClockEnA: in std_logic;
ClockEnB: in std_logic;
WrA: in std_logic;
WrB: in std_logic;
ResetA: in std_logic;
ResetB: in std_logic;
QA: out std_logic_vector(8 downto 0);
QB: out std_logic_vector(8 downto 0));
end gram0;
architecture Structure of gram0 is
-- internal signal declarations
signal wren0_inv: std_logic;
signal wren1_inv: std_logic;
signal scuba_vhi: std_logic;
signal wren0_inv_g: std_logic;
signal addr010_ff: std_logic;
signal addr011_ff: std_logic;
signal addr012_ff: std_logic;
signal wren1_inv_g: std_logic;
signal addr110_ff: std_logic;
signal addr111_ff: std_logic;
signal addr112_ff: std_logic;
signal mdout0_6_0: std_logic;
signal mdout0_5_0: std_logic;
signal mdout0_4_0: std_logic;
signal mdout0_3_0: std_logic;
signal mdout0_2_0: std_logic;
signal mdout0_1_0: std_logic;
signal mdout0_0_0: std_logic;
signal mdout0_6_1: std_logic;
signal mdout0_5_1: std_logic;
signal mdout0_4_1: std_logic;
signal mdout0_3_1: std_logic;
signal mdout0_2_1: std_logic;
signal mdout0_1_1: std_logic;
signal mdout0_0_1: std_logic;
signal mdout0_6_2: std_logic;
signal mdout0_5_2: std_logic;
signal mdout0_4_2: std_logic;
signal mdout0_3_2: std_logic;
signal mdout0_2_2: std_logic;
signal mdout0_1_2: std_logic;
signal mdout0_0_2: std_logic;
signal mdout0_6_3: std_logic;
signal mdout0_5_3: std_logic;
signal mdout0_4_3: std_logic;
signal mdout0_3_3: std_logic;
signal mdout0_2_3: std_logic;
signal mdout0_1_3: std_logic;
signal mdout0_0_3: std_logic;
signal mdout0_6_4: std_logic;
signal mdout0_5_4: std_logic;
signal mdout0_4_4: std_logic;
signal mdout0_3_4: std_logic;
signal mdout0_2_4: std_logic;
signal mdout0_1_4: std_logic;
signal mdout0_0_4: std_logic;
signal mdout0_6_5: std_logic;
signal mdout0_5_5: std_logic;
signal mdout0_4_5: std_logic;
signal mdout0_3_5: std_logic;
signal mdout0_2_5: std_logic;
signal mdout0_1_5: std_logic;
signal mdout0_0_5: std_logic;
signal mdout0_6_6: std_logic;
signal mdout0_5_6: std_logic;
signal mdout0_4_6: std_logic;
signal mdout0_3_6: std_logic;
signal mdout0_2_6: std_logic;
signal mdout0_1_6: std_logic;
signal mdout0_0_6: std_logic;
signal mdout0_6_7: std_logic;
signal mdout0_5_7: std_logic;
signal mdout0_4_7: std_logic;
signal mdout0_3_7: std_logic;
signal mdout0_2_7: std_logic;
signal mdout0_1_7: std_logic;
signal mdout0_0_7: std_logic;
signal addr012_ff2: std_logic;
signal addr011_ff2: std_logic;
signal addr010_ff2: std_logic;
signal mdout0_6_8: std_logic;
signal mdout0_5_8: std_logic;
signal mdout0_4_8: std_logic;
signal mdout0_3_8: std_logic;
signal mdout0_2_8: std_logic;
signal mdout0_1_8: std_logic;
signal mdout0_0_8: std_logic;
signal mdout1_6_0: std_logic;
signal mdout1_5_0: std_logic;
signal mdout1_4_0: std_logic;
signal mdout1_3_0: std_logic;
signal mdout1_2_0: std_logic;
signal mdout1_1_0: std_logic;
signal mdout1_0_0: std_logic;
signal mdout1_6_1: std_logic;
signal mdout1_5_1: std_logic;
signal mdout1_4_1: std_logic;
signal mdout1_3_1: std_logic;
signal mdout1_2_1: std_logic;
signal mdout1_1_1: std_logic;
signal mdout1_0_1: std_logic;
signal mdout1_6_2: std_logic;
signal mdout1_5_2: std_logic;
signal mdout1_4_2: std_logic;
signal mdout1_3_2: std_logic;
signal mdout1_2_2: std_logic;
signal mdout1_1_2: std_logic;
signal mdout1_0_2: std_logic;
signal mdout1_6_3: std_logic;
signal mdout1_5_3: std_logic;
signal mdout1_4_3: std_logic;
signal mdout1_3_3: std_logic;
signal mdout1_2_3: std_logic;
signal mdout1_1_3: std_logic;
signal mdout1_0_3: std_logic;
signal mdout1_6_4: std_logic;
signal mdout1_5_4: std_logic;
signal mdout1_4_4: std_logic;
signal mdout1_3_4: std_logic;
signal mdout1_2_4: std_logic;
signal mdout1_1_4: std_logic;
signal mdout1_0_4: std_logic;
signal mdout1_6_5: std_logic;
signal mdout1_5_5: std_logic;
signal mdout1_4_5: std_logic;
signal mdout1_3_5: std_logic;
signal mdout1_2_5: std_logic;
signal mdout1_1_5: std_logic;
signal mdout1_0_5: std_logic;
signal mdout1_6_6: std_logic;
signal mdout1_5_6: std_logic;
signal mdout1_4_6: std_logic;
signal mdout1_3_6: std_logic;
signal mdout1_2_6: std_logic;
signal mdout1_1_6: std_logic;
signal mdout1_0_6: std_logic;
signal mdout1_6_7: std_logic;
signal mdout1_5_7: std_logic;
signal mdout1_4_7: std_logic;
signal mdout1_3_7: std_logic;
signal mdout1_2_7: std_logic;
signal mdout1_1_7: std_logic;
signal mdout1_0_7: std_logic;
signal addr112_ff2: std_logic;
signal addr111_ff2: std_logic;
signal addr110_ff2: std_logic;
signal scuba_vlo: std_logic;
signal mdout1_6_8: std_logic;
signal mdout1_5_8: std_logic;
signal mdout1_4_8: std_logic;
signal mdout1_3_8: std_logic;
signal mdout1_2_8: std_logic;
signal mdout1_1_8: std_logic;
signal mdout1_0_8: std_logic;
-- local component declarations
component AND2
port (A: in std_logic; B: in std_logic; Z: out std_logic);
end component;
component FD1P3DX
port (D: in std_logic; SP: in std_logic; CK: in std_logic;
CD: in std_logic; Q: out std_logic);
end component;
component INV
port (A: in std_logic; Z: out std_logic);
end component;
component MUX81
port (D0: in std_logic; D1: in std_logic; D2: in std_logic;
D3: in std_logic; D4: in std_logic; D5: in std_logic;
D6: in std_logic; D7: in std_logic; SD1: in std_logic;
SD2: in std_logic; SD3: in std_logic; Z: out std_logic);
end component;
component VHI
port (Z: out std_logic);
end component;
component VLO
port (Z: out std_logic);
end component;
component DP8KC
generic (INIT_DATA : in String; INITVAL_1F : in String;
INITVAL_1E : in String; INITVAL_1D : in String;
INITVAL_1C : in String; INITVAL_1B : in String;
INITVAL_1A : in String; INITVAL_19 : in String;
INITVAL_18 : in String; INITVAL_17 : in String;
INITVAL_16 : in String; INITVAL_15 : in String;
INITVAL_14 : in String; INITVAL_13 : in String;
INITVAL_12 : in String; INITVAL_11 : in String;
INITVAL_10 : in String; INITVAL_0F : in String;
INITVAL_0E : in String; INITVAL_0D : in String;
INITVAL_0C : in String; INITVAL_0B : in String;
INITVAL_0A : in String; INITVAL_09 : in String;
INITVAL_08 : in String; INITVAL_07 : in String;
INITVAL_06 : in String; INITVAL_05 : in String;
INITVAL_04 : in String; INITVAL_03 : in String;
INITVAL_02 : in String; INITVAL_01 : in String;
INITVAL_00 : in String; ASYNC_RESET_RELEASE : in String;
RESETMODE : in String; GSR : in String;
WRITEMODE_B : in String; WRITEMODE_A : in String;
CSDECODE_B : in String; CSDECODE_A : in String;
REGMODE_B : in String; REGMODE_A : in String;
DATA_WIDTH_B : in Integer; DATA_WIDTH_A : in Integer);
port (DIA8: in std_logic; DIA7: in std_logic;
DIA6: in std_logic; DIA5: in std_logic;
DIA4: in std_logic; DIA3: in std_logic;
DIA2: in std_logic; DIA1: in std_logic;
DIA0: in std_logic; ADA12: in std_logic;
ADA11: in std_logic; ADA10: in std_logic;
ADA9: in std_logic; ADA8: in std_logic;
ADA7: in std_logic; ADA6: in std_logic;
ADA5: in std_logic; ADA4: in std_logic;
ADA3: in std_logic; ADA2: in std_logic;
ADA1: in std_logic; ADA0: in std_logic; CEA: in std_logic;
OCEA: in std_logic; CLKA: in std_logic; WEA: in std_logic;
CSA2: in std_logic; CSA1: in std_logic;
CSA0: in std_logic; RSTA: in std_logic;
DIB8: in std_logic; DIB7: in std_logic;
DIB6: in std_logic; DIB5: in std_logic;
DIB4: in std_logic; DIB3: in std_logic;
DIB2: in std_logic; DIB1: in std_logic;
DIB0: in std_logic; ADB12: in std_logic;
ADB11: in std_logic; ADB10: in std_logic;
ADB9: in std_logic; ADB8: in std_logic;
ADB7: in std_logic; ADB6: in std_logic;
ADB5: in std_logic; ADB4: in std_logic;
ADB3: in std_logic; ADB2: in std_logic;
ADB1: in std_logic; ADB0: in std_logic; CEB: in std_logic;
OCEB: in std_logic; CLKB: in std_logic; WEB: in std_logic;
CSB2: in std_logic; CSB1: in std_logic;
CSB0: in std_logic; RSTB: in std_logic;
DOA8: out std_logic; DOA7: out std_logic;
DOA6: out std_logic; DOA5: out std_logic;
DOA4: out std_logic; DOA3: out std_logic;
DOA2: out std_logic; DOA1: out std_logic;
DOA0: out std_logic; DOB8: out std_logic;
DOB7: out std_logic; DOB6: out std_logic;
DOB5: out std_logic; DOB4: out std_logic;
DOB3: out std_logic; DOB2: out std_logic;
DOB1: out std_logic; DOB0: out std_logic);
end component;
attribute MEM_LPC_FILE : string;
attribute MEM_INIT_FILE : string;
attribute GSR : string;
attribute MEM_LPC_FILE of gram0_0_0_6 : label is "gram0.lpc";
attribute MEM_INIT_FILE of gram0_0_0_6 : label is "gram_init.mem";
attribute MEM_LPC_FILE of gram0_1_0_5 : label is "gram0.lpc";
attribute MEM_INIT_FILE of gram0_1_0_5 : label is "gram_init.mem";
attribute MEM_LPC_FILE of gram0_2_0_4 : label is "gram0.lpc";
attribute MEM_INIT_FILE of gram0_2_0_4 : label is "gram_init.mem";
attribute MEM_LPC_FILE of gram0_3_0_3 : label is "gram0.lpc";
attribute MEM_INIT_FILE of gram0_3_0_3 : label is "gram_init.mem";
attribute MEM_LPC_FILE of gram0_4_0_2 : label is "gram0.lpc";
attribute MEM_INIT_FILE of gram0_4_0_2 : label is "gram_init.mem";
attribute MEM_LPC_FILE of gram0_5_0_1 : label is "gram0.lpc";
attribute MEM_INIT_FILE of gram0_5_0_1 : label is "gram_init.mem";
attribute MEM_LPC_FILE of gram0_6_0_0 : label is "gram0.lpc";
attribute MEM_INIT_FILE of gram0_6_0_0 : label is "gram_init.mem";
attribute GSR of FF_11 : label is "ENABLED";
attribute GSR of FF_10 : label is "ENABLED";
attribute GSR of FF_9 : label is "ENABLED";
attribute GSR of FF_8 : label is "ENABLED";
attribute GSR of FF_7 : label is "ENABLED";
attribute GSR of FF_6 : label is "ENABLED";
attribute GSR of FF_5 : label is "ENABLED";
attribute GSR of FF_4 : label is "ENABLED";
attribute GSR of FF_3 : label is "ENABLED";
attribute GSR of FF_2 : label is "ENABLED";
attribute GSR of FF_1 : label is "ENABLED";
attribute GSR of FF_0 : label is "ENABLED";
attribute NGD_DRC_MASK : integer;
attribute NGD_DRC_MASK of Structure : architecture is 1;
begin
-- component instantiation statements
INV_1: INV
port map (A=>WrA, Z=>wren0_inv);
AND2_t1: AND2
port map (A=>wren0_inv, B=>ClockEnA, Z=>wren0_inv_g);
INV_0: INV
port map (A=>WrB, Z=>wren1_inv);
AND2_t0: AND2
port map (A=>wren1_inv, B=>ClockEnB, Z=>wren1_inv_g);
gram0_0_0_6: DP8KC
generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC",
INITVAL_1F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_19=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_18=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_17=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_16=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_15=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_14=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_13=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_12=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_11=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_10=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_09=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_08=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_07=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_06=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_05=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_04=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_03=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_02=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_01=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_00=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL",
WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC",
REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9,
DATA_WIDTH_A=> 9)
port map (DIA8=>DataInA(8), DIA7=>DataInA(7), DIA6=>DataInA(6),
DIA5=>DataInA(5), DIA4=>DataInA(4), DIA3=>DataInA(3),
DIA2=>DataInA(2), DIA1=>DataInA(1), DIA0=>DataInA(0),
ADA12=>AddressA(9), ADA11=>AddressA(8), ADA10=>AddressA(7),
ADA9=>AddressA(6), ADA8=>AddressA(5), ADA7=>AddressA(4),
ADA6=>AddressA(3), ADA5=>AddressA(2), ADA4=>AddressA(1),
ADA3=>AddressA(0), ADA2=>scuba_vlo, ADA1=>scuba_vlo,
ADA0=>scuba_vhi, CEA=>ClockEnA, OCEA=>ClockEnA, CLKA=>ClockA,
WEA=>WrA, CSA2=>AddressA(12), CSA1=>AddressA(11),
CSA0=>AddressA(10), RSTA=>ResetA, DIB8=>DataInB(8),
DIB7=>DataInB(7), DIB6=>DataInB(6), DIB5=>DataInB(5),
DIB4=>DataInB(4), DIB3=>DataInB(3), DIB2=>DataInB(2),
DIB1=>DataInB(1), DIB0=>DataInB(0), ADB12=>AddressB(9),
ADB11=>AddressB(8), ADB10=>AddressB(7), ADB9=>AddressB(6),
ADB8=>AddressB(5), ADB7=>AddressB(4), ADB6=>AddressB(3),
ADB5=>AddressB(2), ADB4=>AddressB(1), ADB3=>AddressB(0),
ADB2=>scuba_vlo, ADB1=>scuba_vlo, ADB0=>scuba_vhi,
CEB=>ClockEnB, OCEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB,
CSB2=>AddressB(12), CSB1=>AddressB(11), CSB0=>AddressB(10),
RSTB=>ResetB, DOA8=>mdout0_0_8, DOA7=>mdout0_0_7,
DOA6=>mdout0_0_6, DOA5=>mdout0_0_5, DOA4=>mdout0_0_4,
DOA3=>mdout0_0_3, DOA2=>mdout0_0_2, DOA1=>mdout0_0_1,
DOA0=>mdout0_0_0, DOB8=>mdout1_0_8, DOB7=>mdout1_0_7,
DOB6=>mdout1_0_6, DOB5=>mdout1_0_5, DOB4=>mdout1_0_4,
DOB3=>mdout1_0_3, DOB2=>mdout1_0_2, DOB1=>mdout1_0_1,
DOB0=>mdout1_0_0);
gram0_1_0_5: DP8KC
generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC",
INITVAL_1F=> "0x0020100201000003F07E001F83FDFE3FBFE3FDC03FEFF00201000000000000000000003FC1E00000",
INITVAL_1E=> "0x001F03FC3E3F87E000003E0FE00000000003C1FE00000001FC3FE0F0020100000001C00FE01303FF",
INITVAL_1D=> "0x3FFC13FFFF3C3FF3FE0F00000000000000000000001F03FC00000003F1FE03FC13FE07001803FC0E",
INITVAL_1C=> "0x00000001003FE01002013F9FE0FC000000000000381FE00C003FDFF03FFD3FE0F3FFFF00E0100201",
INITVAL_1B=> "0x00000001FC3FFFF3FFFF303FF3FFFF3FEFF003FD207FF3FFFF3FFFF07FFF3FFFF3FFFF00F813FFFF",
INITVAL_1A=> "0x0020100000000003F83E001FC3FDFE3FDFE1FDE03FE7F0020100000000003FDFE3FDFE3FE1F3F3FF",
INITVAL_19=> "0x3FFFF3FE033F83E3F1FE3FFFF3FFFF3FFFF3FDFF3FEFF003F93FE030020100000001C007E01383FF",
INITVAL_18=> "0x3FFE13FFFF3C3FF3FE060000000000001E03FDFE3FFFF1FF813FFFF3FFFF003E13FF833FFFF3FFFF",
INITVAL_17=> "0x3FFF73FFFF3FFFF3FE0F3F1FE03C0000000000003C1FE004003FDFF01FFF3FF0F3FFFF00E0100201",
INITVAL_16=> "0x00000001FE3FFFF3FFFF383FF3FFFE3FE0F003FF307FF3FFFF3FFFF27FFF3FFFF3FFFF00F013FEFF",
INITVAL_15=> "0x0000000000000003FC1E001FE3FCFE3FDFE0FDF03FE3F0020100000000003FDFE3FDFF3FE0F3FBFF",
INITVAL_14=> "0x3FFFF07E013FC1E3F1FE3FFFF3FFFF3FFFE3FDFF3FE7F003F10FE010020100000001E003E013C3FF",
INITVAL_13=> "0x1FFF13FFFF3F3FF3FC020000000000001F03FDFE3FFFF0FFC13FFFF3FEFF001E03FDC03FFFF3FFFF",
INITVAL_12=> "0x3FFFB3FFFF3FFFF3FE073E1FE00C0000000000003C1FE000003FFFF21FFF3FF873FFFF0060100201",
INITVAL_11=> "0x00000201FE3FFFF3FFFF383FF3FDFE03E01203FF383FF3FFFF3FFFF37FFF3FFFF3FFFF00F013FE3F",
INITVAL_10=> "0x0000000000001003FC1E001FE3FC7E3FDFE0FDF93FE3F0020100000000003FDFE3FDFF3FE073FBFF",
INITVAL_0F=> "0x3FE3F002013FC1E3F9FF3FFFF3FFFF3FDFE3FFFF3FE3F003E103E010020100000001F001E013C3FF",
INITVAL_0E=> "0x0FFF13FFFF3F3FE3FC000000000000001F03FDFE3FFFF07FC13FFFF07E01001E01FD803FFFF3FFFF",
INITVAL_0D=> "0x3FFF13FFFF3FFFF3FE033C0FE0000000000000003C0FE000003FDFF007FF3FF013FFFF0020100200",
INITVAL_0C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_09=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_08=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_07=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_06=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_05=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_04=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_03=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_02=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_01=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_00=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL",
WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC",
REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9,
DATA_WIDTH_A=> 9)
port map (DIA8=>DataInA(8), DIA7=>DataInA(7), DIA6=>DataInA(6),
DIA5=>DataInA(5), DIA4=>DataInA(4), DIA3=>DataInA(3),
DIA2=>DataInA(2), DIA1=>DataInA(1), DIA0=>DataInA(0),
ADA12=>AddressA(9), ADA11=>AddressA(8), ADA10=>AddressA(7),
ADA9=>AddressA(6), ADA8=>AddressA(5), ADA7=>AddressA(4),
ADA6=>AddressA(3), ADA5=>AddressA(2), ADA4=>AddressA(1),
ADA3=>AddressA(0), ADA2=>scuba_vlo, ADA1=>scuba_vlo,
ADA0=>scuba_vhi, CEA=>ClockEnA, OCEA=>ClockEnA, CLKA=>ClockA,
WEA=>WrA, CSA2=>AddressA(12), CSA1=>AddressA(11),
CSA0=>AddressA(10), RSTA=>ResetA, DIB8=>DataInB(8),
DIB7=>DataInB(7), DIB6=>DataInB(6), DIB5=>DataInB(5),
DIB4=>DataInB(4), DIB3=>DataInB(3), DIB2=>DataInB(2),
DIB1=>DataInB(1), DIB0=>DataInB(0), ADB12=>AddressB(9),
ADB11=>AddressB(8), ADB10=>AddressB(7), ADB9=>AddressB(6),
ADB8=>AddressB(5), ADB7=>AddressB(4), ADB6=>AddressB(3),
ADB5=>AddressB(2), ADB4=>AddressB(1), ADB3=>AddressB(0),
ADB2=>scuba_vlo, ADB1=>scuba_vlo, ADB0=>scuba_vhi,
CEB=>ClockEnB, OCEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB,
CSB2=>AddressB(12), CSB1=>AddressB(11), CSB0=>AddressB(10),
RSTB=>ResetB, DOA8=>mdout0_1_8, DOA7=>mdout0_1_7,
DOA6=>mdout0_1_6, DOA5=>mdout0_1_5, DOA4=>mdout0_1_4,
DOA3=>mdout0_1_3, DOA2=>mdout0_1_2, DOA1=>mdout0_1_1,
DOA0=>mdout0_1_0, DOB8=>mdout1_1_8, DOB7=>mdout1_1_7,
DOB6=>mdout1_1_6, DOB5=>mdout1_1_5, DOB4=>mdout1_1_4,
DOB3=>mdout1_1_3, DOB2=>mdout1_1_2, DOB1=>mdout1_1_1,
DOB0=>mdout1_1_0);
gram0_2_0_4: DP8KC
generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC",
INITVAL_1F=> "0x00000000003F83E000003FFFF3FFFF01E0100201001F01FC003E1FE3FD823FFFF387FF1FE0100201",
INITVAL_1E=> "0x000000000000000001C007E0100201001E03FD801FE01002013FE0100201001C03FC02001FE3FDFE",
INITVAL_1D=> "0x3FEFF0020100000301FE003813FFFE307FF3FE1F3FDFF01E0100000000000000000000381FE00400",
INITVAL_1C=> "0x00000001FE303FF01E01201FE03C0000000001FE006013C3FF3FFFF3FE0700000000003FC0E003F9",
INITVAL_1B=> "0x3FE3F3FBFF03FFD3FEFF000000000000000381FE3FFFF3FE3F00000000003FC1E3F07E000003E1FE",
INITVAL_1A=> "0x00000000003FC3E000003FDFF3FFFF0060100201001F80FC003F1FE3FDC23FFFF383FF1FE0100201",
INITVAL_19=> "0x00000000003FFFF3FFFF07E0100201001E03FD800FE01003011FE0100201001E03FC00001FC0FC00",
INITVAL_18=> "0x3FC1E0020100000301FE003813FDFE383FF3FE1F3FDFF00E010000000000001FE3FDFE3FFFF00201",
INITVAL_17=> "0x00000201FE383FF00E01201FE01C0000000001FE00201383FF003F91FC0000000000003FC07003F1",
INITVAL_16=> "0x3FE0F3F3FF01E01002010000000000000003E1FE3FFFF3FE1F00000000003FC1E3F87F000003F0FE",
INITVAL_15=> "0x00000000003FC1E000013FC06383FF0020100200001FC07C00000000000000000000000000000000",
INITVAL_14=> "0x00000001003FFFF3FFFF03E0100201001F01FDC007E01003811FE0100201001F03FC00001F81FC00",
INITVAL_13=> "0x3FE070020100000381FE0020000000000000000000000000000000000000201FE3FDFE3FEFF00201",
INITVAL_12=> "0x00000201FE383FF00600301FE00C0000000201FE00201303FE203FF07E0000000000003FC0300201",
INITVAL_11=> "0x000000000000000000000000000000000003E1FE3FFFF3FE0F00000000003FC0E3FE3F000003F07E",
INITVAL_10=> "0x00000000003FC0E000013FC0E3E3FF0000000000001FE07C00000000000000000000000000000000",
INITVAL_0F=> "0x00000001003FFFF3FFFF01E0100201001F80FDE007E01003C10FE0100201001F81FC00001F01FD80",
INITVAL_0E=> "0x1FE0100201000003C1FE001C03FDFE3C3FF3FC023FEFF002010000000000201FE3FDFE3FEFF00201",
INITVAL_0D=> "0x00000381FE3C3FF00000381FE0040000000301FE00201203FE383FF01E0100000000003FE01003FF",
INITVAL_0C=> "0x3FF073FFFF20FFF3FE1F00000000000000000000001803FC0600000000003FD073FE1F000003F83E",
INITVAL_0B=> "0x00000000003FC06002013FC1E3FC7F0000000000201FE03C003FDFE0FDF03FE7F3F3FF03E0100201",
INITVAL_0A=> "0x000000000000000001F800E0100201001FE07DF003E01003C107E0100201001F80FC00001F03FDF0",
INITVAL_09=> "0x07E0100201000003E1FE001F03FDFE3E3FF3FD823FFFF00201000000000000000000003F87E00000",
INITVAL_08=> "0x000003E1FE3E3FE000003C1FE0040000000381FE00201001FE3E3FF0020100000001001FE01203FF",
INITVAL_07=> "0x3FF873FFFF307FF3FE1F00000000000000000000001C03FC0600000001003FF013FE0F000003FC1E",
INITVAL_06=> "0x00000000003FC03002013FD9E3FC0E0000000000201FE01C003FDFE0FDF93FE3F3F3FF03E0100201",
INITVAL_05=> "0x000000000000000001FC0060100201301FE03DF801E01003E103E0100201001FC07C00001E03FDFE",
INITVAL_04=> "0x01E0100201000003F0FE001F03FDFE3F3FE3FDC23FFFF00201000000000000000000003F83E00000",
INITVAL_03=> "0x000003F8FE3F0FE000003E1FE0000000000381FE00200001FE3FE7F0020100000001801FE01303FF",
INITVAL_02=> "0x3FF833FFFF383FF3FE0F00000000000000000000001E03FC0200000001F00FF813FE0F001003FC1E",
INITVAL_01=> "0x00000000003FE03002013F9FE3FC020000000000301FE00C003FDFE07FFD3FE1F3FBFF01E0100201",
INITVAL_00=> "0x000000000000000001FE00601002013F9FE005F800E01003F101E0100201001FE03C00001C03FDFE",
CSDECODE_B=> "0b010", CSDECODE_A=> "0b010", WRITEMODE_B=> "NORMAL",
WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC",
REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9,
DATA_WIDTH_A=> 9)
port map (DIA8=>DataInA(8), DIA7=>DataInA(7), DIA6=>DataInA(6),
DIA5=>DataInA(5), DIA4=>DataInA(4), DIA3=>DataInA(3),
DIA2=>DataInA(2), DIA1=>DataInA(1), DIA0=>DataInA(0),
ADA12=>AddressA(9), ADA11=>AddressA(8), ADA10=>AddressA(7),
ADA9=>AddressA(6), ADA8=>AddressA(5), ADA7=>AddressA(4),
ADA6=>AddressA(3), ADA5=>AddressA(2), ADA4=>AddressA(1),
ADA3=>AddressA(0), ADA2=>scuba_vlo, ADA1=>scuba_vlo,
ADA0=>scuba_vhi, CEA=>ClockEnA, OCEA=>ClockEnA, CLKA=>ClockA,
WEA=>WrA, CSA2=>AddressA(12), CSA1=>AddressA(11),
CSA0=>AddressA(10), RSTA=>ResetA, DIB8=>DataInB(8),
DIB7=>DataInB(7), DIB6=>DataInB(6), DIB5=>DataInB(5),
DIB4=>DataInB(4), DIB3=>DataInB(3), DIB2=>DataInB(2),
DIB1=>DataInB(1), DIB0=>DataInB(0), ADB12=>AddressB(9),
ADB11=>AddressB(8), ADB10=>AddressB(7), ADB9=>AddressB(6),
ADB8=>AddressB(5), ADB7=>AddressB(4), ADB6=>AddressB(3),
ADB5=>AddressB(2), ADB4=>AddressB(1), ADB3=>AddressB(0),
ADB2=>scuba_vlo, ADB1=>scuba_vlo, ADB0=>scuba_vhi,
CEB=>ClockEnB, OCEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB,
CSB2=>AddressB(12), CSB1=>AddressB(11), CSB0=>AddressB(10),
RSTB=>ResetB, DOA8=>mdout0_2_8, DOA7=>mdout0_2_7,
DOA6=>mdout0_2_6, DOA5=>mdout0_2_5, DOA4=>mdout0_2_4,
DOA3=>mdout0_2_3, DOA2=>mdout0_2_2, DOA1=>mdout0_2_1,
DOA0=>mdout0_2_0, DOB8=>mdout1_2_8, DOB7=>mdout1_2_7,
DOB6=>mdout1_2_6, DOB5=>mdout1_2_5, DOB4=>mdout1_2_4,
DOB3=>mdout1_2_3, DOB2=>mdout1_2_2, DOB1=>mdout1_2_1,
DOB0=>mdout1_2_0);
gram0_3_0_3: DP8KC
generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC",
INITVAL_1F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1C=> "0x00000000003FDFE3FDFF3FE013FBFF0FE01002013FC06000003F80E00000000003F01E381FE00000",
INITVAL_1B=> "0x000003FD063FFFF3FFFF00E0100201000000000000000000000000000000001F83FDFE3FFFF07FE1",
INITVAL_1A=> "0x3FFFF1FE01001F01FC00001E03FC0000000001C007E013FE3F00000001F021FFF3FFFF3FEFF00201",
INITVAL_19=> "0x00000000000000000000000000000000000381FE3FFFF3FFFF3C3FE3FDFE00E01203FF00201003FE",
INITVAL_18=> "0x0020100201381FE005E007E01002013F0FE3F1FE3FFFF3FE0F201FE3FC1E3FDFE01FF93FE0700201",
INITVAL_17=> "0x00000000003FDFF3FFFF3FE033FFFF3FFFF002013FC0E002013FC1E00000000003F83E3C1FE00400",
INITVAL_16=> "0x001C03FD8E3FFFF3FFFF01E013F3FF07FFD3FFFF3F9FE1FC000000000000001FC3FDFE3FFFF07FF1",
INITVAL_15=> "0x3FFFF3FE7F001F81FC00001F03FC0000000001E007E013FA3F00000001FE30FFF3FFFF3FE7F00381",
INITVAL_14=> "0x3FFFF387FF3FFC13FFFF002010020000000381FE3FFFF3FFFF3E3FE3FDFE1FE01303FF00201201FE",
INITVAL_13=> "0x00201002003C1FE005C00FE01002013FC3E3F9FF3FFFF3FE07301FE3FC1E3FDFF03FFF3FE0700201",
INITVAL_12=> "0x00000000003FFFF3FFFF3FF013FFFF3FFFF03E013FC07002013FC0E00001000003FC1E381FE00C00",
INITVAL_11=> "0x001F03FDC03FFFF3FFFF00E013FBFF03FFD3FEFF3FDFE0FC000000000000001FE3FDFE3FFFF03FF1",
INITVAL_10=> "0x3FFFF3FFFF003FC0FC00001F01FC0000000001E003E013FA7F00000301FE383FF3FFFF3FE7F003C1",
INITVAL_0F=> "0x3FFFF3C3FF1FFE13FFFF0020100000000003C1FE3FFFF3FFFF3E1FE3FDFE3FE07383FF00201301FE",
INITVAL_0E=> "0x00201002003E1FE001800FE01002013FC0F3FBFF3FFFF3FE03381FE3FC1E3FFFF01FFF3FE0700201",
INITVAL_0D=> "0x00000000003FDFE3FFFF3FE013FFFF3FFFF0FE013FC03002013FC0600201001003FC0E301FE01C00",
INITVAL_0C=> "0x001FE0FD003FFFF3FFFF006013FBFF01FFF3FEFF3FDFE07C0100000000000000000000201FE01C00",
INITVAL_0B=> "0x000003F1FE003FF07E00001F80FC0000000001F001E013F2FF000003E1FE00200000003FC3E001E0",
INITVAL_0A=> "0x3FEFF3C3FF0FFF13FFFF00200000000000000000000003E0FE00000000003FE0F3C3FF00200381FE",
INITVAL_09=> "0x00201000003E0FE001801FE01003013FE0100201001E03FC023C1FE3FD0E3FFFF20FFF3FE0300201",
INITVAL_08=> "0x000000000000000001801FE0100201001F81FC003FE03002013FC0700201001803FC0E201FE3FDFE",
INITVAL_07=> "0x3FFFF03E0100000001FE002013FFFF21FFF3FE7F3FDFE07C0100000000000000000000301FE01C00",
INITVAL_06=> "0x00000201FE003FF03E01001FC07C0000000001F800E013E3FF3FFFF3FEFF00000000003FC1E001E0",
INITVAL_05=> "0x3FE7F3E3FF0FFF93FFFF00000000000000000000000003F07E00000000003FC1E3E1FF00000381FE",
INITVAL_04=> "0x00200000003F07E001003FFFF3FFFF0FE0100201001F03FC003E1FE3FD063FFFF307FF3FE0100201",
INITVAL_03=> "0x000000000000000001800FE0100201001E03FD003FE01002013FE0300201001C03FC06201FE3FDFE",
INITVAL_02=> "0x3FFFF0060100000201FE003013FFFF30FFF3FE3F3FDFE03E0100000000000000000000381FE00C00",
INITVAL_01=> "0x00000001FE203FF01E01001FE07C0000000001FC00E013C3FF3FFFF3FE1F00000000003FC0E001F1",
INITVAL_00=> "0x3FE7F3F3FF07FF93FFFF00000000000000000000000003F87E00000000003FC1E3E0FE000003C1FE",
CSDECODE_B=> "0b011", CSDECODE_A=> "0b011", WRITEMODE_B=> "NORMAL",
WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC",
REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9,
DATA_WIDTH_A=> 9)
port map (DIA8=>DataInA(8), DIA7=>DataInA(7), DIA6=>DataInA(6),
DIA5=>DataInA(5), DIA4=>DataInA(4), DIA3=>DataInA(3),
DIA2=>DataInA(2), DIA1=>DataInA(1), DIA0=>DataInA(0),
ADA12=>AddressA(9), ADA11=>AddressA(8), ADA10=>AddressA(7),
ADA9=>AddressA(6), ADA8=>AddressA(5), ADA7=>AddressA(4),
ADA6=>AddressA(3), ADA5=>AddressA(2), ADA4=>AddressA(1),
ADA3=>AddressA(0), ADA2=>scuba_vlo, ADA1=>scuba_vlo,
ADA0=>scuba_vhi, CEA=>ClockEnA, OCEA=>ClockEnA, CLKA=>ClockA,
WEA=>WrA, CSA2=>AddressA(12), CSA1=>AddressA(11),
CSA0=>AddressA(10), RSTA=>ResetA, DIB8=>DataInB(8),
DIB7=>DataInB(7), DIB6=>DataInB(6), DIB5=>DataInB(5),
DIB4=>DataInB(4), DIB3=>DataInB(3), DIB2=>DataInB(2),
DIB1=>DataInB(1), DIB0=>DataInB(0), ADB12=>AddressB(9),
ADB11=>AddressB(8), ADB10=>AddressB(7), ADB9=>AddressB(6),
ADB8=>AddressB(5), ADB7=>AddressB(4), ADB6=>AddressB(3),
ADB5=>AddressB(2), ADB4=>AddressB(1), ADB3=>AddressB(0),
ADB2=>scuba_vlo, ADB1=>scuba_vlo, ADB0=>scuba_vhi,
CEB=>ClockEnB, OCEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB,
CSB2=>AddressB(12), CSB1=>AddressB(11), CSB0=>AddressB(10),
RSTB=>ResetB, DOA8=>mdout0_3_8, DOA7=>mdout0_3_7,
DOA6=>mdout0_3_6, DOA5=>mdout0_3_5, DOA4=>mdout0_3_4,
DOA3=>mdout0_3_3, DOA2=>mdout0_3_2, DOA1=>mdout0_3_1,
DOA0=>mdout0_3_0, DOB8=>mdout1_3_8, DOB7=>mdout1_3_7,
DOB6=>mdout1_3_6, DOB5=>mdout1_3_5, DOB4=>mdout1_3_4,
DOB3=>mdout1_3_3, DOB2=>mdout1_3_2, DOB1=>mdout1_3_1,
DOB0=>mdout1_3_0);
gram0_4_0_2: DP8KC
generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC",
INITVAL_1F=> "0x00000000003C40101E013FE0F003E1001F03FC003F8FE001C01FE013E303003F13FE0E3E0FE001E0",
INITVAL_1E=> "0x0011E03C0E3E1FE005E01FE01003FF3FE0F3FFFF20FFF3FE3F00000000000001C078F02000E1E000",
INITVAL_1D=> "0x1C00000000003C10061F3C10001D002060F3020F01C002001F3C0001C1F801E000001D1E00000000",
INITVAL_1C=> "0x3FDFE0FDF03FE7F3E3FF07E010020100000001801E2010F0000020101E010003C0001E03D0001CF0",
INITVAL_1B=> "0x2007E0E00000CE00020103C0001C003FAF3002000390001C00001E03FDFE3E3FF3FD823FFFF00201",
INITVAL_1A=> "0x0000000000301FE00D800021E000F0000003800201DE0000701E0003E10E0023D0000E001E0000E0",
INITVAL_19=> "0x201CE27006001E0000F000000001FE3FF073FFFF307FF3FE1F0000000000000003FC7E380023C000",
INITVAL_18=> "0x1E00000000004F10020F0F10000D8031E073800101C000001E1E0001E18E00F003FC1F3E0FE00000",
INITVAL_17=> "0x3FDFE0FDF13FE3F3F3FF03E010020100000001F00FE0103A010030100E000001C2000E01D8000478",
INITVAL_16=> "0x301E607000000F10020103D0001D0031E7F003F901E013FE3F001F03FDFE3F3FE3FD823FFFF00201",
INITVAL_15=> "0x00000000000F180005C02000E00070000003C00000CF0000390F0000719C0021E2000E000E000070",
INITVAL_14=> "0x2007E3F0023F0FE0018007E01203FF3FF833FFFF383FF3FE0F00000000000018000438380001E000",
INITVAL_13=> "0x0F00000000002712020F07180005803FA023C00000C002000F0E0003F102006012020F0018000400",
INITVAL_12=> "0x3FDFE07DF93FE1F3FBFF01E0100201000000003C07800039800038100C002000E3000600D8000439",
INITVAL_11=> "0x3818207C00002790020100D8000F812023F0020101C0000039001F83FDFE3F3FE3FDC03FEFF00201",
INITVAL_10=> "0x0000000000079C0005C03820200038000000F80000C700027907800039F80003C3C0020003C00078",
INITVAL_0F=> "0x3001E3E002000700000007800301FE3FFC13FFFF383FF3FE0F0000000000001803FC1E301FE03C00",
INITVAL_0E=> "0x3FE0F3C3FF00238300063F1FE005C03E000381FE003E13FE01070001F100003E13FE073F1FE00000",
INITVAL_0D=> "0x3FDFE03FFD3FE0F3FFFF00E010020100000001F003E013E2FF201FE1FC001FE013020300C003FE1F",
INITVAL_0C=> "0x3810003C003FC06203FF003C1007C02021F001FE00F813FE0F001F83FDFE3F9FE1FDE03FE7F00201",
INITVAL_0B=> "0x0000000000300FE0000001C013C3FF003FD00000000300030103E0101AC1001C001C001FC0000018",
INITVAL_0A=> "0x300021C0003FC3E001F800201383FE3FFC13FFFF3C3FF3FE06000000000000000000000000000000",
INITVAL_09=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_08=> "0x3FDFF01FFF3FE0F3FFFF00E010020100000000000000000000000000000000000000000000000000",
INITVAL_07=> "0x00000000000000000000000000000000000000000000000000001FC3FCFE3FDFE0FDF03FE3F00201",
INITVAL_06=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_05=> "0x0000000000000000000000000381FE1FFE13FFFF3E3FF3FC02000000000000000000000000000000",
INITVAL_04=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_03=> "0x3FDFF01FFF3FF073FFFF006010020100000000000000000000000000000000000000000000000000",
INITVAL_02=> "0x00000000000000000000000000000000000000000000000000001FC3FC7E3FDFE07DF03FE3F00201",
INITVAL_01=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_00=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
CSDECODE_B=> "0b100", CSDECODE_A=> "0b100", WRITEMODE_B=> "NORMAL",
WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC",
REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9,
DATA_WIDTH_A=> 9)
port map (DIA8=>DataInA(8), DIA7=>DataInA(7), DIA6=>DataInA(6),
DIA5=>DataInA(5), DIA4=>DataInA(4), DIA3=>DataInA(3),
DIA2=>DataInA(2), DIA1=>DataInA(1), DIA0=>DataInA(0),
ADA12=>AddressA(9), ADA11=>AddressA(8), ADA10=>AddressA(7),
ADA9=>AddressA(6), ADA8=>AddressA(5), ADA7=>AddressA(4),
ADA6=>AddressA(3), ADA5=>AddressA(2), ADA4=>AddressA(1),
ADA3=>AddressA(0), ADA2=>scuba_vlo, ADA1=>scuba_vlo,
ADA0=>scuba_vhi, CEA=>ClockEnA, OCEA=>ClockEnA, CLKA=>ClockA,
WEA=>WrA, CSA2=>AddressA(12), CSA1=>AddressA(11),
CSA0=>AddressA(10), RSTA=>ResetA, DIB8=>DataInB(8),
DIB7=>DataInB(7), DIB6=>DataInB(6), DIB5=>DataInB(5),
DIB4=>DataInB(4), DIB3=>DataInB(3), DIB2=>DataInB(2),
DIB1=>DataInB(1), DIB0=>DataInB(0), ADB12=>AddressB(9),
ADB11=>AddressB(8), ADB10=>AddressB(7), ADB9=>AddressB(6),
ADB8=>AddressB(5), ADB7=>AddressB(4), ADB6=>AddressB(3),
ADB5=>AddressB(2), ADB4=>AddressB(1), ADB3=>AddressB(0),
ADB2=>scuba_vlo, ADB1=>scuba_vlo, ADB0=>scuba_vhi,
CEB=>ClockEnB, OCEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB,
CSB2=>AddressB(12), CSB1=>AddressB(11), CSB0=>AddressB(10),
RSTB=>ResetB, DOA8=>mdout0_4_8, DOA7=>mdout0_4_7,
DOA6=>mdout0_4_6, DOA5=>mdout0_4_5, DOA4=>mdout0_4_4,
DOA3=>mdout0_4_3, DOA2=>mdout0_4_2, DOA1=>mdout0_4_1,
DOA0=>mdout0_4_0, DOB8=>mdout1_4_8, DOB7=>mdout1_4_7,
DOB6=>mdout1_4_6, DOB5=>mdout1_4_5, DOB4=>mdout1_4_4,
DOB3=>mdout1_4_3, DOB2=>mdout1_4_2, DOB1=>mdout1_4_1,
DOB0=>mdout1_4_0);
gram0_5_0_1: DP8KC
generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC",
INITVAL_1F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_19=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_18=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_17=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_16=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_15=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_14=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_13=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_12=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_11=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_10=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_09=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_08=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_07=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_06=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_05=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_04=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_03=> "0x0000000000000000000000000000000000000000000000000000000000000000001CE0001E003C00",
INITVAL_02=> "0x38000001FE000003F802001FC01C000020F003E0003011FE0038000381C001D003FC3E001FE01C00",
INITVAL_01=> "0x3F1FE0FDC03FE3F3C3FF03E010020100000381E01C000381FE0020103C003FC0E001F101E013F3FF",
INITVAL_00=> "0x0001E1C0003F87E001F800E0103A013C2E1003F907E013FAFF001C03FDFE3C3FF3FF063FFFF00601",
CSDECODE_B=> "0b101", CSDECODE_A=> "0b101", WRITEMODE_B=> "NORMAL",
WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC",
REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9,
DATA_WIDTH_A=> 9)
port map (DIA8=>DataInA(8), DIA7=>DataInA(7), DIA6=>DataInA(6),
DIA5=>DataInA(5), DIA4=>DataInA(4), DIA3=>DataInA(3),
DIA2=>DataInA(2), DIA1=>DataInA(1), DIA0=>DataInA(0),
ADA12=>AddressA(9), ADA11=>AddressA(8), ADA10=>AddressA(7),
ADA9=>AddressA(6), ADA8=>AddressA(5), ADA7=>AddressA(4),
ADA6=>AddressA(3), ADA5=>AddressA(2), ADA4=>AddressA(1),
ADA3=>AddressA(0), ADA2=>scuba_vlo, ADA1=>scuba_vlo,
ADA0=>scuba_vhi, CEA=>ClockEnA, OCEA=>ClockEnA, CLKA=>ClockA,
WEA=>WrA, CSA2=>AddressA(12), CSA1=>AddressA(11),
CSA0=>AddressA(10), RSTA=>ResetA, DIB8=>DataInB(8),
DIB7=>DataInB(7), DIB6=>DataInB(6), DIB5=>DataInB(5),
DIB4=>DataInB(4), DIB3=>DataInB(3), DIB2=>DataInB(2),
DIB1=>DataInB(1), DIB0=>DataInB(0), ADB12=>AddressB(9),
ADB11=>AddressB(8), ADB10=>AddressB(7), ADB9=>AddressB(6),
ADB8=>AddressB(5), ADB7=>AddressB(4), ADB6=>AddressB(3),
ADB5=>AddressB(2), ADB4=>AddressB(1), ADB3=>AddressB(0),
ADB2=>scuba_vlo, ADB1=>scuba_vlo, ADB0=>scuba_vhi,
CEB=>ClockEnB, OCEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB,
CSB2=>AddressB(12), CSB1=>AddressB(11), CSB0=>AddressB(10),
RSTB=>ResetB, DOA8=>mdout0_5_8, DOA7=>mdout0_5_7,
DOA6=>mdout0_5_6, DOA5=>mdout0_5_5, DOA4=>mdout0_5_4,
DOA3=>mdout0_5_3, DOA2=>mdout0_5_2, DOA1=>mdout0_5_1,
DOA0=>mdout0_5_0, DOB8=>mdout1_5_8, DOB7=>mdout1_5_7,
DOB6=>mdout1_5_6, DOB5=>mdout1_5_5, DOB4=>mdout1_5_4,
DOB3=>mdout1_5_3, DOB2=>mdout1_5_2, DOB1=>mdout1_5_1,
DOB0=>mdout1_5_0);
scuba_vhi_inst: VHI
port map (Z=>scuba_vhi);
gram0_6_0_0: DP8KC
generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC",
INITVAL_1F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_1A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_19=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_18=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_17=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_16=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_15=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_14=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_13=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_12=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_11=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_10=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_0A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_09=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_08=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_07=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_06=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_05=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_04=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_03=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_02=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_01=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
INITVAL_00=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000",
CSDECODE_B=> "0b110", CSDECODE_A=> "0b110", WRITEMODE_B=> "NORMAL",
WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC",
REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9,
DATA_WIDTH_A=> 9)
port map (DIA8=>DataInA(8), DIA7=>DataInA(7), DIA6=>DataInA(6),
DIA5=>DataInA(5), DIA4=>DataInA(4), DIA3=>DataInA(3),
DIA2=>DataInA(2), DIA1=>DataInA(1), DIA0=>DataInA(0),
ADA12=>AddressA(9), ADA11=>AddressA(8), ADA10=>AddressA(7),
ADA9=>AddressA(6), ADA8=>AddressA(5), ADA7=>AddressA(4),
ADA6=>AddressA(3), ADA5=>AddressA(2), ADA4=>AddressA(1),
ADA3=>AddressA(0), ADA2=>scuba_vlo, ADA1=>scuba_vlo,
ADA0=>scuba_vhi, CEA=>ClockEnA, OCEA=>ClockEnA, CLKA=>ClockA,
WEA=>WrA, CSA2=>AddressA(12), CSA1=>AddressA(11),
CSA0=>AddressA(10), RSTA=>ResetA, DIB8=>DataInB(8),
DIB7=>DataInB(7), DIB6=>DataInB(6), DIB5=>DataInB(5),
DIB4=>DataInB(4), DIB3=>DataInB(3), DIB2=>DataInB(2),
DIB1=>DataInB(1), DIB0=>DataInB(0), ADB12=>AddressB(9),
ADB11=>AddressB(8), ADB10=>AddressB(7), ADB9=>AddressB(6),
ADB8=>AddressB(5), ADB7=>AddressB(4), ADB6=>AddressB(3),
ADB5=>AddressB(2), ADB4=>AddressB(1), ADB3=>AddressB(0),
ADB2=>scuba_vlo, ADB1=>scuba_vlo, ADB0=>scuba_vhi,
CEB=>ClockEnB, OCEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB,
CSB2=>AddressB(12), CSB1=>AddressB(11), CSB0=>AddressB(10),
RSTB=>ResetB, DOA8=>mdout0_6_8, DOA7=>mdout0_6_7,
DOA6=>mdout0_6_6, DOA5=>mdout0_6_5, DOA4=>mdout0_6_4,
DOA3=>mdout0_6_3, DOA2=>mdout0_6_2, DOA1=>mdout0_6_1,
DOA0=>mdout0_6_0, DOB8=>mdout1_6_8, DOB7=>mdout1_6_7,
DOB6=>mdout1_6_6, DOB5=>mdout1_6_5, DOB4=>mdout1_6_4,
DOB3=>mdout1_6_3, DOB2=>mdout1_6_2, DOB1=>mdout1_6_1,
DOB0=>mdout1_6_0);
FF_11: FD1P3DX
port map (D=>AddressA(10), SP=>wren0_inv_g, CK=>ClockA,
CD=>scuba_vlo, Q=>addr010_ff);
FF_10: FD1P3DX
port map (D=>AddressA(11), SP=>wren0_inv_g, CK=>ClockA,
CD=>scuba_vlo, Q=>addr011_ff);
FF_9: FD1P3DX
port map (D=>AddressA(12), SP=>wren0_inv_g, CK=>ClockA,
CD=>scuba_vlo, Q=>addr012_ff);
FF_8: FD1P3DX
port map (D=>addr010_ff, SP=>ClockEnA, CK=>ClockA, CD=>scuba_vlo,
Q=>addr010_ff2);
FF_7: FD1P3DX
port map (D=>addr011_ff, SP=>ClockEnA, CK=>ClockA, CD=>scuba_vlo,
Q=>addr011_ff2);
FF_6: FD1P3DX
port map (D=>addr012_ff, SP=>ClockEnA, CK=>ClockA, CD=>scuba_vlo,
Q=>addr012_ff2);
FF_5: FD1P3DX
port map (D=>AddressB(10), SP=>wren1_inv_g, CK=>ClockB,
CD=>scuba_vlo, Q=>addr110_ff);
FF_4: FD1P3DX
port map (D=>AddressB(11), SP=>wren1_inv_g, CK=>ClockB,
CD=>scuba_vlo, Q=>addr111_ff);
FF_3: FD1P3DX
port map (D=>AddressB(12), SP=>wren1_inv_g, CK=>ClockB,
CD=>scuba_vlo, Q=>addr112_ff);
FF_2: FD1P3DX
port map (D=>addr110_ff, SP=>ClockEnB, CK=>ClockB, CD=>scuba_vlo,
Q=>addr110_ff2);
FF_1: FD1P3DX
port map (D=>addr111_ff, SP=>ClockEnB, CK=>ClockB, CD=>scuba_vlo,
Q=>addr111_ff2);
FF_0: FD1P3DX
port map (D=>addr112_ff, SP=>ClockEnB, CK=>ClockB, CD=>scuba_vlo,
Q=>addr112_ff2);
mux_17: MUX81
port map (D0=>mdout0_0_0, D1=>mdout0_1_0, D2=>mdout0_2_0,
D3=>mdout0_3_0, D4=>mdout0_4_0, D5=>mdout0_5_0,
D6=>mdout0_6_0, D7=>scuba_vlo, SD1=>addr010_ff2,
SD2=>addr011_ff2, SD3=>addr012_ff2, Z=>QA(0));
mux_16: MUX81
port map (D0=>mdout0_0_1, D1=>mdout0_1_1, D2=>mdout0_2_1,
D3=>mdout0_3_1, D4=>mdout0_4_1, D5=>mdout0_5_1,
D6=>mdout0_6_1, D7=>scuba_vlo, SD1=>addr010_ff2,
SD2=>addr011_ff2, SD3=>addr012_ff2, Z=>QA(1));
mux_15: MUX81
port map (D0=>mdout0_0_2, D1=>mdout0_1_2, D2=>mdout0_2_2,
D3=>mdout0_3_2, D4=>mdout0_4_2, D5=>mdout0_5_2,
D6=>mdout0_6_2, D7=>scuba_vlo, SD1=>addr010_ff2,
SD2=>addr011_ff2, SD3=>addr012_ff2, Z=>QA(2));
mux_14: MUX81
port map (D0=>mdout0_0_3, D1=>mdout0_1_3, D2=>mdout0_2_3,
D3=>mdout0_3_3, D4=>mdout0_4_3, D5=>mdout0_5_3,
D6=>mdout0_6_3, D7=>scuba_vlo, SD1=>addr010_ff2,
SD2=>addr011_ff2, SD3=>addr012_ff2, Z=>QA(3));
mux_13: MUX81
port map (D0=>mdout0_0_4, D1=>mdout0_1_4, D2=>mdout0_2_4,
D3=>mdout0_3_4, D4=>mdout0_4_4, D5=>mdout0_5_4,
D6=>mdout0_6_4, D7=>scuba_vlo, SD1=>addr010_ff2,
SD2=>addr011_ff2, SD3=>addr012_ff2, Z=>QA(4));
mux_12: MUX81
port map (D0=>mdout0_0_5, D1=>mdout0_1_5, D2=>mdout0_2_5,
D3=>mdout0_3_5, D4=>mdout0_4_5, D5=>mdout0_5_5,
D6=>mdout0_6_5, D7=>scuba_vlo, SD1=>addr010_ff2,
SD2=>addr011_ff2, SD3=>addr012_ff2, Z=>QA(5));
mux_11: MUX81
port map (D0=>mdout0_0_6, D1=>mdout0_1_6, D2=>mdout0_2_6,
D3=>mdout0_3_6, D4=>mdout0_4_6, D5=>mdout0_5_6,
D6=>mdout0_6_6, D7=>scuba_vlo, SD1=>addr010_ff2,
SD2=>addr011_ff2, SD3=>addr012_ff2, Z=>QA(6));
mux_10: MUX81
port map (D0=>mdout0_0_7, D1=>mdout0_1_7, D2=>mdout0_2_7,
D3=>mdout0_3_7, D4=>mdout0_4_7, D5=>mdout0_5_7,
D6=>mdout0_6_7, D7=>scuba_vlo, SD1=>addr010_ff2,
SD2=>addr011_ff2, SD3=>addr012_ff2, Z=>QA(7));
mux_9: MUX81
port map (D0=>mdout0_0_8, D1=>mdout0_1_8, D2=>mdout0_2_8,
D3=>mdout0_3_8, D4=>mdout0_4_8, D5=>mdout0_5_8,
D6=>mdout0_6_8, D7=>scuba_vlo, SD1=>addr010_ff2,
SD2=>addr011_ff2, SD3=>addr012_ff2, Z=>QA(8));
mux_8: MUX81
port map (D0=>mdout1_0_0, D1=>mdout1_1_0, D2=>mdout1_2_0,
D3=>mdout1_3_0, D4=>mdout1_4_0, D5=>mdout1_5_0,
D6=>mdout1_6_0, D7=>scuba_vlo, SD1=>addr110_ff2,
SD2=>addr111_ff2, SD3=>addr112_ff2, Z=>QB(0));
mux_7: MUX81
port map (D0=>mdout1_0_1, D1=>mdout1_1_1, D2=>mdout1_2_1,
D3=>mdout1_3_1, D4=>mdout1_4_1, D5=>mdout1_5_1,
D6=>mdout1_6_1, D7=>scuba_vlo, SD1=>addr110_ff2,
SD2=>addr111_ff2, SD3=>addr112_ff2, Z=>QB(1));
mux_6: MUX81
port map (D0=>mdout1_0_2, D1=>mdout1_1_2, D2=>mdout1_2_2,
D3=>mdout1_3_2, D4=>mdout1_4_2, D5=>mdout1_5_2,
D6=>mdout1_6_2, D7=>scuba_vlo, SD1=>addr110_ff2,
SD2=>addr111_ff2, SD3=>addr112_ff2, Z=>QB(2));
mux_5: MUX81
port map (D0=>mdout1_0_3, D1=>mdout1_1_3, D2=>mdout1_2_3,
D3=>mdout1_3_3, D4=>mdout1_4_3, D5=>mdout1_5_3,
D6=>mdout1_6_3, D7=>scuba_vlo, SD1=>addr110_ff2,
SD2=>addr111_ff2, SD3=>addr112_ff2, Z=>QB(3));
mux_4: MUX81
port map (D0=>mdout1_0_4, D1=>mdout1_1_4, D2=>mdout1_2_4,
D3=>mdout1_3_4, D4=>mdout1_4_4, D5=>mdout1_5_4,
D6=>mdout1_6_4, D7=>scuba_vlo, SD1=>addr110_ff2,
SD2=>addr111_ff2, SD3=>addr112_ff2, Z=>QB(4));
mux_3: MUX81
port map (D0=>mdout1_0_5, D1=>mdout1_1_5, D2=>mdout1_2_5,
D3=>mdout1_3_5, D4=>mdout1_4_5, D5=>mdout1_5_5,
D6=>mdout1_6_5, D7=>scuba_vlo, SD1=>addr110_ff2,
SD2=>addr111_ff2, SD3=>addr112_ff2, Z=>QB(5));
mux_2: MUX81
port map (D0=>mdout1_0_6, D1=>mdout1_1_6, D2=>mdout1_2_6,
D3=>mdout1_3_6, D4=>mdout1_4_6, D5=>mdout1_5_6,
D6=>mdout1_6_6, D7=>scuba_vlo, SD1=>addr110_ff2,
SD2=>addr111_ff2, SD3=>addr112_ff2, Z=>QB(6));
mux_1: MUX81
port map (D0=>mdout1_0_7, D1=>mdout1_1_7, D2=>mdout1_2_7,
D3=>mdout1_3_7, D4=>mdout1_4_7, D5=>mdout1_5_7,
D6=>mdout1_6_7, D7=>scuba_vlo, SD1=>addr110_ff2,
SD2=>addr111_ff2, SD3=>addr112_ff2, Z=>QB(7));
scuba_vlo_inst: VLO
port map (Z=>scuba_vlo);
mux_0: MUX81
port map (D0=>mdout1_0_8, D1=>mdout1_1_8, D2=>mdout1_2_8,
D3=>mdout1_3_8, D4=>mdout1_4_8, D5=>mdout1_5_8,
D6=>mdout1_6_8, D7=>scuba_vlo, SD1=>addr110_ff2,
SD2=>addr111_ff2, SD3=>addr112_ff2, Z=>QB(8));
end Structure;
-- synopsys translate_off
library MACHXO2;
configuration Structure_CON of gram0 is
for Structure
for all:AND2 use entity MACHXO2.AND2(V); end for;
for all:FD1P3DX use entity MACHXO2.FD1P3DX(V); end for;
for all:INV use entity MACHXO2.INV(V); end for;
for all:MUX81 use entity MACHXO2.MUX81(V); end for;
for all:VHI use entity MACHXO2.VHI(V); end for;
for all:VLO use entity MACHXO2.VLO(V); end for;
for all:DP8KC use entity MACHXO2.DP8KC(V); end for;
end for;
end Structure_CON;
-- synopsys translate_on