From 0209a239808b606c8c3f186317c9f55f73960dd9 Mon Sep 17 00:00:00 2001 From: Markus Koch Date: Tue, 23 Jul 2024 18:52:14 +0200 Subject: [PATCH] fpga: Fix clock constraint for system clock --- fpga/constraints.pcf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/fpga/constraints.pcf b/fpga/constraints.pcf index 04a221b..088cee9 100644 --- a/fpga/constraints.pcf +++ b/fpga/constraints.pcf @@ -43,5 +43,5 @@ set_io eth_led_orange 41 set_io led_user 34 -set_frequency clk 24 +set_frequency clk 25 set_frequency clk_phy 50