From 3ecc6a4ed15de48726aaf2e36e6d734f5f97e8e6 Mon Sep 17 00:00:00 2001 From: Markus Koch Date: Wed, 14 Aug 2024 19:15:57 +0200 Subject: [PATCH] fpga: aps6404l_wb: Fix memory arbitration bug --- fpga/hdl/design/aps6404l_wb.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/fpga/hdl/design/aps6404l_wb.vhd b/fpga/hdl/design/aps6404l_wb.vhd index 50a1cde..062a3ad 100644 --- a/fpga/hdl/design/aps6404l_wb.vhd +++ b/fpga/hdl/design/aps6404l_wb.vhd @@ -93,7 +93,7 @@ begin elsif rising_edge(clk) then if ap_sel = 0 then - if not (api_i.read_en or api_i.read_en) and (apd_i.read_en or apd_i.write_en) then + if not (api_i.read_en or api_i.write_en) and (apd_i.read_en or apd_i.write_en) then ap_sel <= 1; end if; else