diff --git a/fpga/hdl/device_models/aps6404l.vhd b/fpga/hdl/device_models/aps6404l.vhd index 3a4d560..5f3434d 100644 --- a/fpga/hdl/device_models/aps6404l.vhd +++ b/fpga/hdl/device_models/aps6404l.vhd @@ -164,9 +164,7 @@ begin cnt := cnt + 1; end if; if falling_edge(sclk) then - handle(cnt mod 2 = 0); - end if; end if;