From 8cf103a18d6e21a9c6cc7e8c855ea16491b3f393 Mon Sep 17 00:00:00 2001 From: Markus Koch Date: Fri, 27 Sep 2024 16:35:18 +0200 Subject: [PATCH] fpga: neorv32: Disable reset of regfile --- fpga/hdl/design/neorv32_top.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/fpga/hdl/design/neorv32_top.vhd b/fpga/hdl/design/neorv32_top.vhd index 1840c0a..9403ac2 100644 --- a/fpga/hdl/design/neorv32_top.vhd +++ b/fpga/hdl/design/neorv32_top.vhd @@ -74,7 +74,7 @@ begin CPU_EXTENSION_RISCV_Smpmp => false, FAST_MUL_EN => false, FAST_SHIFT_EN => false, - REGFILE_HW_RST => true, + REGFILE_HW_RST => false, PMP_NUM_REGIONS => 0, PMP_MIN_GRANULARITY => 0, PMP_TOR_MODE_EN => false,