commit bdeff0d0ff09e2fe6277e687e0c4618a81214235 Author: Markus Koch Date: Sat Jun 1 11:07:09 2024 +0200 Design PCB diff --git a/kicad/.gitignore b/kicad/.gitignore new file mode 100644 index 0000000..5f06fea --- /dev/null +++ b/kicad/.gitignore @@ -0,0 +1,12 @@ +*backups +*.bak +*.bck +*.sch-bak +*.kicad_pcb-bak +*.net +*.out +fp-info-cache +*.pdf +*.plt +*bom* +*.kicad_prl diff --git a/kicad/footprints/trashernet_soc.pretty/TestPoint_Pad_0.5x0.5mm.kicad_mod b/kicad/footprints/trashernet_soc.pretty/TestPoint_Pad_0.5x0.5mm.kicad_mod new file mode 100644 index 0000000..fa67c6f --- /dev/null +++ b/kicad/footprints/trashernet_soc.pretty/TestPoint_Pad_0.5x0.5mm.kicad_mod @@ -0,0 +1,164 @@ +(footprint "TestPoint_Pad_0.5x0.5mm" + (version 20240108) + (generator "pcbnew") + (generator_version "8.0") + (layer "F.Cu") + (descr "SMD rectangular pad as test Point, square 1.0mm side length") + (tags "test point SMD pad rectangle square") + (property "Reference" "REF**" + (at 0 -1.448 0) + (layer "F.SilkS") + (uuid "57854389-d614-41bc-badd-9e50c042f000") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "TestPoint_Pad_0.5x0.5mm" + (at 0 1.55 0) + (layer "F.Fab") + (uuid "ffbacf47-0bca-42da-9556-58707a172b94") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "TestPoint_Pad_0.5x0.5mm" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5103f804-4771-4736-96cc-ed727ec8fac7") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "60705c60-ce89-4d2e-9646-ced329925477") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "35b5fc35-3c5a-44e3-b9ea-03dcdfe1cc53") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (attr exclude_from_pos_files exclude_from_bom) + (fp_line + (start -0.5 -0.5) + (end 0.5 -0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b46a75bc-6fc3-4af9-9151-20c53a6eb936") + ) + (fp_line + (start -0.5 0.5) + (end -0.5 -0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "56469ec3-4260-4bfd-be6e-801e1a3f2762") + ) + (fp_line + (start 0.5 -0.5) + (end 0.5 0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "82dd0b2d-a4ef-4d5e-b165-e033c969fa3d") + ) + (fp_line + (start 0.5 0.5) + (end -0.5 0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e39484a4-5cbf-4adf-b271-2168d7e0f645") + ) + (fp_line + (start -0.75 -0.75) + (end -0.75 0.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f5ce72f9-16a2-44eb-9fb0-dd6b06181714") + ) + (fp_line + (start -0.75 -0.75) + (end 0.75 -0.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "763e2beb-ec05-429e-97a1-9f865cc85d06") + ) + (fp_line + (start 0.75 0.75) + (end -0.75 0.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "17be16ce-9017-475b-9240-12e70665b829") + ) + (fp_line + (start 0.75 0.75) + (end 0.75 -0.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "64318e0f-09d7-4b24-aa3d-4735d2d01289") + ) + (fp_text user "${REFERENCE}" + (at 0 -1.45 0) + (layer "F.Fab") + (uuid "2db9ed6b-9c42-4990-8b32-fddeb89b4566") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd rect + (at 0 0) + (size 0.8 0.8) + (layers "F.Cu" "F.Mask") + (uuid "c7a53b80-2670-4411-8770-45df62759000") + ) +) diff --git a/kicad/footprints/trashernet_soc.pretty/trashernet_logo.kicad_mod b/kicad/footprints/trashernet_soc.pretty/trashernet_logo.kicad_mod new file mode 100644 index 0000000..65a7e07 --- /dev/null +++ b/kicad/footprints/trashernet_soc.pretty/trashernet_logo.kicad_mod @@ -0,0 +1,1998 @@ +(footprint "LOGO" (version 20221018) (generator "bitmap2component") (generator_version "8.0") + (layer "F.Cu") + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_text reference "G***" (at 0 0) (layer "F.SilkS") + (effects (font (size 1.5 1.5) (thickness 0.3))) + (uuid f3479f8e-6a21-4960-8d2b-8bfe0efeb550) + ) + (fp_text value "LOGO" (at 0.75 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (uuid 01bd9639-59d5-4d4d-9609-3d5b39a7a138) + ) + (fp_poly + (pts + (xy -10.849762 2.99674) + (xy -10.79588 3.055733) + (xy -10.776511 3.121359) + (xy -10.775758 3.14264) + (xy -10.783146 3.224823) + (xy -10.808803 3.287419) + (xy -10.857966 3.33284) + (xy -10.935873 3.363502) + (xy -11.04776 3.381819) + (xy -11.198866 3.390206) + (xy -11.315552 3.391477) + (xy -11.640414 3.391477) + (xy -11.647054 4.64433) + (xy -11.653693 5.897183) + (xy -11.72118 5.95522) + (xy -11.806946 6.002034) + (xy -11.9003 6.009773) + (xy -11.985778 5.977567) + (xy -11.995214 5.97063) + (xy -12.043754 5.916022) + (xy -12.073386 5.86136) + (xy -12.079909 5.818792) + (xy -12.085498 5.729198) + (xy -12.09013 5.593651) + (xy -12.093785 5.413222) + (xy -12.096441 5.188983) + (xy -12.098078 4.922006) + (xy -12.098672 4.613362) + (xy -12.098674 4.592563) + (xy -12.098674 3.391477) + (xy -12.421824 3.391477) + (xy -12.546845 3.389834) + (xy -12.658868 3.385341) + (xy -12.746825 3.37865) + (xy -12.799647 3.370415) + (xy -12.804363 3.368898) + (xy -12.89472 3.313901) + (xy -12.948579 3.237537) + (xy -12.96347 3.149806) + (xy -12.936925 3.06071) + (xy -12.894275 3.004779) + (xy -12.823966 2.93447) + (xy -11.873866 2.93447) + (xy -10.923767 2.93447) + ) + + (stroke (width 0.000000) (type solid)) (fill solid) (layer "F.SilkS") (uuid 0acaa1c8-4f4e-4f9f-a8a6-f503c9ef6880)) + (fp_poly + (pts + (xy -9.004876 3.824842) + (xy -8.850358 3.827008) + (xy -8.730155 3.832332) + (xy -8.637375 3.842218) + (xy -8.565121 3.858067) + (xy -8.506501 3.881283) + (xy -8.45462 3.913268) + (xy -8.402583 3.955426) + (xy -8.357853 3.995901) + (xy -8.242665 4.124663) + (xy -8.170531 4.262189) + (xy -8.136673 4.415427) + (xy -8.131307 4.493092) + (xy -8.139425 4.543094) + (xy -8.166974 4.585282) + (xy -8.19701 4.616809) + (xy -8.283247 4.675813) + (xy -8.372519 4.691535) + (xy -8.455922 4.667295) + (xy -8.524549 4.606413) + (xy -8.569496 4.512207) + (xy -8.577516 4.474985) + (xy -8.613786 4.373995) + (xy -8.664094 4.317001) + (xy -8.693524 4.293983) + (xy -8.723811 4.277808) + (xy -8.76364 4.267269) + (xy -8.821693 4.261164) + (xy -8.906656 4.258286) + (xy -9.027212 4.257432) + (xy -9.093891 4.257386) + (xy -9.452841 4.257386) + (xy -9.452841 5.065013) + (xy -9.452841 5.87264) + (xy -9.52315 5.942949) + (xy -9.606914 6.000483) + (xy -9.69443 6.01014) + (xy -9.784419 5.971884) + (xy -9.811791 5.950987) + (xy -9.885796 5.888716) + (xy -9.885796 4.915613) + (xy -9.885796 3.94251) + (xy -9.826756 3.883471) + (xy -9.767717 3.824432) + (xy -9.200605 3.824432) + ) + + (stroke (width 0.000000) (type solid)) (fill solid) (layer "F.SilkS") (uuid 6fe3c22e-41c6-46ea-bb26-e236329b2a2f)) + (fp_poly + (pts + (xy -6.377959 3.824574) + (xy -6.241012 3.825429) + (xy -6.138006 3.827639) + (xy -6.061858 3.831845) + (xy -6.005486 3.83869) + (xy -5.961807 3.848816) + (xy -5.923739 3.862864) + (xy -5.8842 3.881477) + (xy -5.874734 3.886182) + (xy -5.726354 3.986529) + (xy -5.607319 4.123853) + (xy -5.54686 4.232111) + (xy -5.531857 4.267518) + (xy -5.520009 4.305384) + (xy -5.510852 4.351882) + (xy -5.503923 4.413189) + (xy -5.498759 4.495477) + (xy -5.494895 4.604922) + (xy -5.491868 4.747698) + (xy -5.489214 4.929979) + (xy -5.488172 5.013742) + (xy -5.485812 5.239618) + (xy -5.485075 5.422633) + (xy -5.486431 5.568017) + (xy -5.490354 5.681003) + (xy -5.497314 5.766821) + (xy -5.507785 5.830703) + (xy -5.522236 5.877878) + (xy -5.541141 5.91358) + (xy -5.564972 5.943038) + (xy -5.572712 5.951) + (xy -5.634969 6.013258) + (xy -6.395373 6.012202) + (xy -6.627653 6.011323) + (xy -6.81617 6.009284) + (xy -6.965256 6.005916) + (xy -7.079244 6.001048) + (xy -7.162465 5.994512) + (xy -7.219252 5.986138) + (xy -7.246024 5.97894) + (xy -7.326352 5.941819) + (xy -7.40753 5.892043) + (xy -7.419714 5.883089) + (xy -7.551635 5.75596) + (xy -7.641623 5.611737) + (xy -7.689248 5.456657) + (xy -7.691781 5.372948) + (xy -7.240559 5.372948) + (xy -7.216198 5.457535) + (xy -7.160424 5.52574) + (xy -7.083618 5.563085) + (xy -7.046361 5.566563) + (xy -6.968563 5.569876) + (xy -6.857791 5.57284) + (xy -6.72161 5.575273) + (xy -6.567585 5.57699) + (xy -6.488305 5.577513) + (xy -5.941099 5.580303) + (xy -5.941099 5.363826) + (xy -5.941099 5.147349) + (xy -6.531491 5.147349) + (xy -7.121884 5.147349) + (xy -7.180923 5.206388) + (xy -7.229977 5.284918) + (xy -7.240559 5.372948) + (xy -7.691781 5.372948) + (xy -7.694081 5.296958) + (xy -7.655692 5.138878) + (xy -7.573652 4.988652) + (xy -7.488135 4.889659) + (xy -7.4281 4.833614) + (xy -7.371107 4.789147) + (xy -7.310737 4.754927) + (xy -7.240572 4.729625) + (xy -7.154193 4.711911) + (xy -7.04518 4.700456) + (xy -6.907115 4.693929) + (xy -6.733579 4.691001) + (xy -6.526032 4.690341) + (xy -5.941099 4.690341) + (xy -5.941099 4.544173) + (xy -5.94391 4.457855) + (xy -5.956242 4.401503) + (xy -5.983941 4.357115) + (xy -6.011408 4.327695) + (xy -6.081716 4.257386) + (xy -6.594693 4.256852) + (xy -6.767664 4.256267) + (xy -6.899065 4.254598) + (xy -6.995431 4.251355) + (xy -7.063294 4.246053) + (xy -7.109188 4.238204) + (xy -7.139645 4.22732) + (xy -7.158975 4.214759) + (xy -7.227432 4.134909) + (xy -7.254906 4.045972) + (xy -7.240928 3.958086) + (xy -7.185032 3.88139) + (xy -7.174739 3.872831) + (xy -7.152304 3.857327) + (xy -7.125271 3.845531) + (xy -7.087203 3.83694) + (xy -7.031665 3.83105) + (xy -6.95222 3.827359) + (xy -6.842434 3.825362) + (xy -6.695869 3.824557) + (xy -6.55593 3.824432) + ) + + (stroke (width 0.000000) (type solid)) (fill solid) (layer "F.SilkS") (uuid 59fbb428-bac8-4c01-bbe7-1922bfd67811)) + (fp_poly + (pts + (xy -2.924582 3.898436) + (xy -2.871899 3.988919) + (xy -2.867141 4.077314) + (xy -2.910272 4.162341) + (xy -2.93262 4.187078) + (xy -3.002928 4.257386) + (xy -3.740246 4.257386) + (xy -4.477564 4.257386) + (xy -4.547873 4.327695) + (xy -4.604836 4.407818) + (xy -4.618182 4.473864) + (xy -4.596717 4.556789) + (xy -4.547873 4.620032) + (xy -4.477564 4.690341) + (xy -3.96294 4.690341) + (xy -3.757338 4.691369) + (xy -3.592949 4.695479) + (xy -3.462905 4.704216) + (xy -3.360337 4.719119) + (xy -3.278376 4.741733) + (xy -3.210155 4.773599) + (xy -3.148805 4.816258) + (xy -3.087458 4.871254) + (xy -3.066879 4.891537) + (xy -2.955939 5.032469) + (xy -2.888719 5.184918) + (xy -2.864161 5.342826) + (xy -2.881205 5.500133) + (xy -2.938793 5.650782) + (xy -3.035863 5.788715) + (xy -3.171358 5.907872) + (xy -3.253497 5.958689) + (xy -3.279474 5.970551) + (xy -3.31299 5.980137) + (xy -3.359351 5.987754) + (xy -3.423863 5.993708) + (xy -3.511831 5.998304) + (xy -3.628561 6.001849) + (xy -3.779358 6.00465) + (xy -3.969528 6.007011) + (xy -4.115807 6.008447) + (xy -4.900268 6.015663) + (xy -4.975702 5.95219) + (xy -5.02868 5.896129) + (xy -5.049279 5.834799) + (xy -5.051137 5.797307) + (xy -5.036685 5.710285) + (xy -5.0052 5.649114) + (xy -4.959263 5.59233) + (xy -4.201316 5.580303) + (xy -3.990556 5.576887) + (xy -3.822556 5.573765) + (xy -3.691977 5.570493) + (xy -3.59348 5.566627) + (xy -3.521724 5.561722) + (xy -3.47137 5.555333) + (xy -3.437078 5.547017) + (xy -3.413508 5.536329) + (xy -3.395321 5.522824) + (xy -3.383785 5.512258) + (xy -3.33871 5.456444) + (xy -3.313533 5.400432) + (xy -3.313456 5.400037) + (xy -3.316724 5.326636) + (xy -3.344865 5.249052) + (xy -3.388683 5.18921) + (xy -3.407572 5.175661) + (xy -3.443548 5.168517) + (xy -3.520182 5.161446) + (xy -3.63003 5.154855) + (xy -3.765643 5.14915) + (xy -3.919578 5.144737) + (xy -4.004842 5.143081) + (xy -4.182176 5.140041) + (xy -4.318518 5.136921) + (xy -4.420978 5.132955) + (xy -4.496666 5.127374) + (xy -4.552692 5.11941) + (xy -4.596164 5.108295) + (xy -4.634192 5.093261) + (xy -4.673887 5.07354) + (xy -4.68048 5.070101) + (xy -4.832645 4.966103) + (xy -4.946359 4.834804) + (xy -5.019477 4.679671) + (xy -5.04985 4.504169) + (xy -5.050608 4.472573) + (xy -5.028501 4.298466) + (xy -4.963322 4.141676) + (xy -4.858603 4.007596) + (xy -4.717879 3.90162) + (xy -4.655834 3.869902) + (xy -4.625122 3.857601) + (xy -4.58936 3.847778) + (xy -4.543066 3.840152) + (xy -4.480761 3.834445) + (xy -4.396964 3.830379) + (xy -4.286194 3.827674) + (xy -4.142971 3.826051) + (xy -3.961815 3.825233) + (xy -3.772451 3.82496) + (xy -2.986852 3.824432) + ) + + (stroke (width 0.000000) (type solid)) (fill solid) (layer "F.SilkS") (uuid ae0a9b07-018c-4745-bd11-e85732e7117e)) + (fp_poly + (pts + (xy -2.083125 2.987756) + (xy -2.062927 3.004597) + (xy -1.984375 3.074724) + (xy -1.976669 3.449578) + (xy -1.968962 3.824432) + (xy -1.35129 3.82496) + (xy -1.158993 3.825445) + (xy -1.008417 3.8268) + (xy -0.893177 3.829426) + (xy -0.806889 3.833723) + (xy -0.74317 3.840093) + (xy -0.695636 3.848937) + (xy -0.657904 3.860655) + (xy -0.635833 3.869902) + (xy -0.486165 3.962896) + (xy -0.360261 4.091188) + (xy -0.293105 4.195089) + (xy -0.228504 4.317519) + (xy -0.220822 5.026446) + (xy -0.219367 5.210491) + (xy -0.219028 5.382363) + (xy -0.21974 5.53519) + (xy -0.221436 5.662097) + (xy -0.22405 5.756213) + (xy -0.227516 5.810664) + (xy -0.228416 5.816796) + (xy -0.266456 5.911541) + (xy -0.332825 5.97683) + (xy -0.416744 6.008579) + (xy -0.507431 6.002707) + (xy -0.593971 5.955246) + (xy -0.661458 5.897234) + (xy -0.673485 5.135318) + (xy -0.685512 4.373402) + (xy -0.752999 4.315394) + (xy -0.77521 4.297186) + (xy -0.798289 4.283252) + (xy -0.828579 4.273022) + (xy -0.872426 4.265922) + (xy -0.936174 4.261382) + (xy -1.026167 4.258829) + (xy -1.148751 4.257691) + (xy -1.310271 4.257396) + (xy -1.396417 4.257386) + (xy -1.972349 4.257386) + (xy -1.972349 5.023494) + (xy -1.973206 5.260945) + (xy -1.975722 5.46349) + (xy -1.97981 5.628336) + (xy -1.985385 5.75269) + (xy -1.992359 5.833759) + (xy -1.998201 5.863763) + (xy -2.049506 5.948664) + (xy -2.125067 5.998832) + (xy -2.213438 6.011778) + (xy -2.303176 5.985012) + (xy -2.359612 5.942384) + (xy -2.430485 5.871511) + (xy -2.423908 4.46103) + (xy -2.41733 3.050549) + (xy -2.349843 2.992509) + (xy -2.262861 2.943007) + (xy -2.173573 2.941439) + ) + + (stroke (width 0.000000) (type solid)) (fill solid) (layer "F.SilkS") (uuid 09ba2e37-93ae-4553-bbcb-4206df84937f)) + (fp_poly + (pts + (xy 1.309599 3.82496) + (xy 1.513862 3.825608) + (xy 1.676577 3.828075) + (xy 1.804304 3.833742) + (xy 1.903598 3.84399) + (xy 1.981018 3.860201) + (xy 2.04312 3.883757) + (xy 2.096462 3.916037) + (xy 2.147602 3.958425) + (xy 2.203096 4.012301) + (xy 2.204205 4.013414) + (xy 2.273466 4.087588) + (xy 2.323745 4.156512) + (xy 2.358289 4.230322) + (xy 2.380345 4.319155) + (xy 2.393162 4.43315) + (xy 2.399986 4.582442) + (xy 2.401179 4.62826) + (xy 2.403169 4.781309) + (xy 2.400573 4.892824) + (xy 2.393003 4.969193) + (xy 2.380068 5.016805) + (xy 2.377125 5.022841) + (xy 2.357193 5.055199) + (xy 2.332216 5.081432) + (xy 2.29729 5.102177) + (xy 2.247509 5.118073) + (xy 2.177969 5.129757) + (xy 2.083764 5.137867) + (xy 1.959988 5.143043) + (xy 1.801738 5.145921) + (xy 1.604107 5.147139) + (xy 1.419901 5.147349) + (xy 0.649432 5.147349) + (xy 0.649432 5.279261) + (xy 0.653846 5.364965) + (xy 0.671882 5.42457) + (xy 0.710729 5.479648) + (xy 0.719573 5.489725) + (xy 0.789715 5.568277) + (xy 1.319849 5.575584) + (xy 1.849984 5.582891) + (xy 1.913554 5.658439) + (xy 1.960093 5.746501) + (xy 1.961877 5.839104) + (xy 1.919818 5.925669) + (xy 1.887633 5.959138) + (xy 1.865953 5.976557) + (xy 1.841946 5.989767) + (xy 1.809092 5.999349) + (xy 1.760874 6.005878) + (xy 1.690772 6.009932) + (xy 1.592268 6.01209) + (xy 1.458844 6.012928) + (xy 1.28398 6.013025) + (xy 1.279482 6.013023) + (xy 1.10256 6.012722) + (xy 0.96653 6.011585) + (xy 0.864182 6.009001) + (xy 0.788303 6.004359) + (xy 0.731681 5.997048) + (xy 0.687105 5.986457) + (xy 0.647361 5.971975) + (xy 0.612864 5.956557) + (xy 0.509874 5.892849) + (xy 0.408426 5.803384) + (xy 0.320923 5.70147) + (xy 0.259769 5.600417) + (xy 0.245071 5.561922) + (xy 0.233851 5.498514) + (xy 0.22521 5.397693) + (xy 0.219095 5.268127) + (xy 0.215456 5.11848) + (xy 0.214238 4.957418) + (xy 0.21539 4.793608) + (xy 0.217659 4.690341) + (xy 0.643828 4.690341) + (xy 1.308088 4.690341) + (xy 1.972348 4.690341) + (xy 1.972348 4.58133) + (xy 1.957913 4.453054) + (xy 1.916519 4.354577) + (xy 1.851032 4.292086) + (xy 1.834635 4.284175) + (xy 1.78835 4.27302) + (xy 1.709534 4.265427) + (xy 1.594185 4.261238) + (xy 1.438299 4.260297) + (xy 1.267045 4.261992) + (xy 1.100141 4.264711) + (xy 0.974536 4.267692) + (xy 0.883425 4.27169) + (xy 0.820005 4.277462) + (xy 0.77747 4.285764) + (xy 0.749016 4.297349) + (xy 0.727839 4.312975) + (xy 0.717364 4.323028) + (xy 0.684555 4.368252) + (xy 0.664948 4.431761) + (xy 0.65417 4.528038) + (xy 0.653815 4.533492) + (xy 0.643828 4.690341) + (xy 0.217659 4.690341) + (xy 0.218859 4.635716) + (xy 0.224593 4.492407) + (xy 0.23254 4.372347) + (xy 0.242646 4.284202) + (xy 0.253067 4.240429) + (xy 0.330587 4.106289) + (xy 0.439812 3.985815) + (xy 0.565674 3.895217) + (xy 0.581737 3.886844) + (xy 0.621273 3.867639) + (xy 0.657648 3.852848) + (xy 0.697569 3.841901) + (xy 0.747744 3.834229) + (xy 0.814882 3.829262) + (xy 0.90569 3.826428) + (xy 1.026875 3.825157) + (xy 1.185147 3.824879) + ) + + (stroke (width 0.000000) (type solid)) (fill solid) (layer "F.SilkS") (uuid 85279bd3-87d9-4f96-890c-5fc7fce7ab01)) + (fp_poly + (pts + (xy 4.162647 3.824965) + (xy 4.299159 3.826091) + (xy 4.401924 3.82865) + (xy 4.478123 3.833246) + (xy 4.534933 3.840485) + (xy 4.579536 3.850971) + (xy 4.619109 3.865309) + (xy 4.654261 3.881025) + (xy 4.770604 3.951298) + (xy 4.867888 4.04081) + (xy 4.944854 4.143235) + (xy 5.000243 4.252246) + (xy 5.032793 4.361515) + (xy 5.041245 4.464715) + (xy 5.024339 4.555518) + (xy 4.980815 4.627599) + (xy 4.909413 4.674628) + (xy 4.815431 4.690341) + (xy 4.723548 4.668284) + (xy 4.651356 4.607543) + (xy 4.607542 4.516266) + (xy 4.602149 4.490314) + (xy 4.566211 4.386749) + (xy 4.519808 4.323684) + (xy 4.453511 4.257386) + (xy 4.090865 4.257386) + (xy 3.72822 4.257386) + (xy 3.72822 5.065013) + (xy 3.72822 5.87264) + (xy 3.657911 5.942949) + (xy 3.574485 5.998956) + (xy 3.48476 6.013437) + (xy 3.400035 5.985611) + (xy 3.370486 5.962842) + (xy 3.322523 5.905272) + (xy 3.293791 5.853037) + (xy 3.288512 5.815552) + (xy 3.28369 5.735593) + (xy 3.279464 5.618792) + (xy 3.275967 5.470781) + (xy 3.273337 5.297191) + (xy 3.271709 5.103654) + (xy 3.271212 4.916506) + (xy 3.271527 4.669209) + (xy 3.272804 4.465458) + (xy 3.275543 4.300696) + (xy 3.280241 4.170365) + (xy 3.287397 4.069908) + (xy 3.297511 3.994767) + (xy 3.31108 3.940385) + (xy 3.328604 3.902205) + (xy 3.350581 3.875668) + (xy 3.37751 3.856218) + (xy 3.38786 3.850421) + (xy 3.430405 3.841153) + (xy 3.517878 3.833812) + (xy 3.647131 3.828534) + (xy 3.815018 3.825455) + (xy 3.985208 3.824667) + ) + + (stroke (width 0.000000) (type solid)) (fill solid) (layer "F.SilkS") (uuid 0c58ca4e-d1ef-46f3-b716-62c469dc3ae3)) + (fp_poly + (pts + (xy 6.390944 3.82496) + (xy 6.622634 3.825316) + (xy 6.811728 3.826681) + (xy 6.963734 3.83001) + (xy 7.084163 3.83626) + (xy 7.178524 3.846387) + (xy 7.252326 3.861347) + (xy 7.311079 3.882096) + (xy 7.360293 3.90959) + (xy 7.405476 3.944786) + (xy 7.452139 3.988639) + (xy 7.477084 4.013448) + (xy 7.524752 4.061276) + (xy 7.563374 4.103393) + (xy 7.593947 4.145326) + (xy 7.617472 4.192601) + (xy 7.634947 4.250746) + (xy 7.647373 4.325285) + (xy 7.655748 4.421746) + (xy 7.661072 4.545655) + (xy 7.664344 4.702539) + (xy 7.666564 4.897924) + (xy 7.66811 5.06982) + (xy 7.675329 5.870227) + (xy 7.603814 5.941742) + (xy 7.519261 6.000934) + (xy 7.43334 6.012202) + (xy 7.348201 5.975535) + (xy 7.310271 5.942949) + (xy 7.239962 5.87264) + (xy 7.239962 5.162357) + (xy 7.239186 4.927784) + (xy 7.236794 4.738692) + (xy 7.23269 4.592482) + (xy 7.226776 4.486553) + (xy 7.218956 4.418304) + (xy 7.211226 4.389006) + (xy 7.190333 4.350011) + (xy 7.163554 4.319538) + (xy 7.125146 4.296546) + (xy 7.069363 4.279997) + (xy 6.99046 4.268851) + (xy 6.882693 4.262071) + (xy 6.740317 4.258616) + (xy 6.557587 4.257447) + (xy 6.483486 4.257386) + (xy 5.917045 4.257386) + (xy 5.917045 5.065013) + (xy 5.917045 5.87264) + (xy 5.846736 5.942949) + (xy 5.766614 5.999912) + (xy 5.700568 6.013258) + (xy 5.617643 5.991792) + (xy 5.5544 5.942949) + (xy 5.484091 5.87264) + (xy 5.484091 4.912181) + (xy 5.484091 3.951722) + (xy 5.543075 3.888077) + (xy 5.602059 3.824432) + ) + + (stroke (width 0.000000) (type solid)) (fill solid) (layer "F.SilkS") (uuid 6a444584-edba-485b-b08d-1c6b9376e226)) + (fp_poly + (pts + (xy 9.396595 3.825084) + (xy 9.539607 3.825991) + (xy 9.648178 3.828166) + (xy 9.72914 3.832152) + (xy 9.789326 3.838492) + (xy 9.835569 3.847727) + (xy 9.874701 3.860401) + (xy 9.913554 3.877057) + (xy 9.922791 3.881331) + (xy 10.046653 3.960143) + (xy 10.157798 4.069653) + (xy 10.24354 4.195484) + (xy 10.282782 4.289318) + (xy 10.302757 4.391453) + (xy 10.314961 4.53364) + (xy 10.31875 4.694327) + (xy 10.318323 4.815454) + (xy 10.315702 4.898859) + (xy 10.308877 4.954926) + (xy 10.295838 4.994039) + (xy 10.274574 5.026582) + (xy 10.24859 5.05677) + (xy 10.17843 5.135322) + (xy 9.370654 5.142257) + (xy 8.562879 5.149192) + (xy 8.562879 5.282143) + (xy 8.565947 5.369059) + (xy 8.578573 5.437322) + (xy 8.605888 5.489161) + (xy 8.653022 5.526805) + (xy 8.725107 5.552483) + (xy 8.827272 5.568423) + (xy 8.96465 5.576856) + (xy 9.14237 5.580009) + (xy 9.249199 5.580303) + (xy 9.426053 5.58168) + (xy 9.571535 5.585657) + (xy 9.680982 5.591999) + (xy 9.749729 5.600475) + (xy 9.769148 5.606292) + (xy 9.811199 5.64565) + (xy 9.848731 5.706816) + (xy 9.851594 5.71338) + (xy 9.870742 5.807525) + (xy 9.844083 5.893878) + (xy 9.786521 5.962842) + (xy 9.766896 5.979128) + (xy 9.74332 5.991443) + (xy 9.709337 6.000315) + (xy 9.658492 6.00627) + (xy 9.584332 6.009835) + (xy 9.480401 6.011537) + (xy 9.340244 6.011903) + (xy 9.189735 6.011563) + (xy 8.993226 6.010071) + (xy 8.839265 6.00676) + (xy 8.722317 6.001316) + (xy 8.636847 5.993426) + (xy 8.577321 5.982776) + (xy 8.553403 5.975544) + (xy 8.421475 5.905086) + (xy 8.299385 5.798799) + (xy 8.200668 5.668815) + (xy 8.189361 5.649196) + (xy 8.117898 5.520171) + (xy 8.117898 4.918845) + (xy 8.118256 4.718159) + (xy 8.120205 4.558887) + (xy 8.120778 4.544173) + (xy 8.562879 4.544173) + (xy 8.562879 4.690341) + (xy 9.21231 4.690341) + (xy 9.861742 4.690341) + (xy 9.861742 4.536134) + (xy 9.859775 4.449775) + (xy 9.850215 4.395419) + (xy 9.827573 4.357013) + (xy 9.787738 4.319657) + (xy 9.713733 4.257386) + (xy 9.208615 4.257386) + (xy 8.703496 4.257386) + (xy 8.633187 4.327695) + (xy 8.59302 4.373707) + (xy 8.571846 4.420519) + (xy 8.563818 4.486131) + (xy 8.562879 4.544173) + (xy 8.120778 4.544173) + (xy 8.125054 4.434338) + (xy 8.134113 4.337821) + (xy 8.148691 4.262644) + (xy 8.170097 4.202117) + (xy 8.199642 4.149548) + (xy 8.238635 4.098247) + (xy 8.288385 4.041521) + (xy 8.289945 4.039791) + (xy 8.367676 3.968496) + (xy 8.459878 3.904104) + (xy 8.502746 3.881025) + (xy 8.542222 3.863508) + (xy 8.580613 3.850071) + (xy 8.624743 3.840175) + (xy 8.681438 3.83328) + (xy 8.757523 3.828845) + (xy 8.859823 3.826331) + (xy 8.995164 3.825198) + (xy 9.17037 3.824907) + (xy 9.21231 3.824902) + ) + + (stroke (width 0.000000) (type solid)) (fill solid) (layer "F.SilkS") (uuid f8f7123f-36ec-4d1a-ae3a-0cf5f9dc66dc)) + (fp_poly + (pts + (xy 11.897236 2.945179) + (xy 11.950868 2.968745) + (xy 11.995579 2.9979) + (xy 12.028283 3.037162) + (xy 12.050757 3.093827) + (xy 12.064778 3.175187) + (xy 12.072121 3.288537) + (xy 12.074564 3.44117) + (xy 12.074621 3.476795) + (xy 12.074621 3.824432) + (xy 12.448536 3.824432) + (xy 12.590488 3.824714) + (xy 12.692531 3.826308) + (xy 12.762864 3.830329) + (xy 12.809685 3.837897) + (xy 12.841192 3.850129) + (xy 12.865581 3.868142) + (xy 12.881491 3.883471) + (xy 12.933005 3.96524) + (xy 12.942748 4.056525) + (xy 12.911354 4.144127) + (xy 12.866526 4.195116) + (xy 12.836231 4.219125) + (xy 12.806066 4.236005) + (xy 12.767303 4.24701) + (xy 12.711214 4.253396) + (xy 12.629074 4.256417) + (xy 12.512156 4.257329) + (xy 12.433571 4.257386) + (xy 12.074621 4.257386) + (xy 12.074621 4.83624) + (xy 12.075754 5.041685) + (xy 12.079077 5.209986) + (xy 12.084475 5.338383) + (xy 12.091834 5.424111) + (xy 12.10061 5.463655) + (xy 12.142686 5.512163) + (xy 12.212599 5.546598) + (xy 12.315799 5.568359) + (xy 12.457738 5.57884) + (xy 12.556002 5.580303) + (xy 12.673634 5.580975) + (xy 12.753521 5.584245) + (xy 12.806017 5.591989) + (xy 12.841478 5.606087) + (xy 12.870259 5.628416) + (xy 12.881491 5.639342) + (xy 12.933005 5.721111) + (xy 12.942748 5.812397) + (xy 12.911354 5.899999) + (xy 12.866526 5.950987) + (xy 12.834373 5.976301) + (xy 12.802485 5.993539) + (xy 12.761331 6.004158) + (xy 12.701384 6.009617) + (xy 12.613113 6.011375) + (xy 12.486989 6.010889) + (xy 12.475664 6.010802) + (xy 12.349884 6.008052) + (xy 12.235239 6.002315) + (xy 12.143638 5.994403) + (xy 12.086989 5.985126) + (xy 12.08357 5.984112) + (xy 11.963287 5.924919) + (xy 11.846579 5.831372) + (xy 11.747475 5.716073) + (xy 11.702226 5.641553) + (xy 11.62964 5.499725) + (xy 11.622149 4.878555) + (xy 11.614657 4.257386) + (xy 11.473158 4.257386) + (xy 11.349249 4.242994) + (xy 11.262035 4.198787) + (xy 11.209289 4.123223) + (xy 11.193486 4.063632) + (xy 11.1912 3.996438) + (xy 11.215163 3.939645) + (xy 11.244542 3.901274) + (xy 11.283805 3.85915) + (xy 11.322268 3.836093) + (xy 11.37613 3.826416) + (xy 11.461587 3.824432) + (xy 11.463407 3.824432) + (xy 11.617613 3.824432) + (xy 11.617613 3.485311) + (xy 11.620175 3.316517) + (xy 11.629002 3.188957) + (xy 11.645805 3.095977) + (xy 11.672294 3.030919) + (xy 11.710182 2.98713) + (xy 11.752196 2.961855) + (xy 11.828637 2.937316) + ) + + (stroke (width 0.000000) (type solid)) (fill solid) (layer "F.SilkS") (uuid 855db8e2-796c-4546-b0af-c7bced95ffb2)) + (fp_poly + (pts + (xy 3.012735 -5.987188) + (xy 3.136528 -5.981593) + (xy 3.233875 -5.973097) + (xy 3.294418 -5.96238) + (xy 3.297689 -5.961337) + (xy 3.424507 -5.900715) + (xy 3.540735 -5.813851) + (xy 3.629428 -5.713935) + (xy 3.6429 -5.692646) + (xy 3.703028 -5.590046) + (xy 4.467281 -5.548047) + (xy 4.589021 -5.541259) + (xy 4.755027 -5.531851) + (xy 4.961543 -5.520043) + (xy 5.204813 -5.506054) + (xy 5.48108 -5.490106) + (xy 5.786588 -5.472417) + (xy 6.117582 -5.453208) + (xy 6.470305 -5.432698) + (xy 6.841 -5.411107) + (xy 7.225913 -5.388655) + (xy 7.621285 -5.365562) + (xy 8.023363 -5.342048) + (xy 8.428388 -5.318333) + (xy 8.832606 -5.294636) + (xy 9.232259 -5.271177) + (xy 9.623592 -5.248177) + (xy 10.002849 -5.225854) + (xy 10.366273 -5.20443) + (xy 10.710108 -5.184123) + (xy 11.030599 -5.165154) + (xy 11.323988 -5.147743) + (xy 11.58652 -5.132109) + (xy 11.814439 -5.118472) + (xy 12.003988 -5.107052) + (xy 12.075092 -5.102736) + (xy 12.292714 -5.08915) + (xy 12.467489 -5.076963) + (xy 12.604612 -5.06506) + (xy 12.709275 -5.052322) + (xy 12.786672 -5.037633) + (xy 12.841998 -5.019875) + (xy 12.880444 -4.997931) + (xy 12.907205 -4.970685) + (xy 12.927475 -4.937018) + (xy 12.936823 -4.917376) + (xy 12.941052 -4.894663) + (xy 12.944881 -4.8449) + (xy 12.948323 -4.766453) + (xy 12.951393 -4.65769) + (xy 12.954103 -4.51698) + (xy 12.956468 -4.342689) + (xy 12.958501 -4.133187) + (xy 12.960215 -3.886841) + (xy 12.961625 -3.602018) + (xy 12.962744 -3.277087) + (xy 12.963585 -2.910415) + (xy 12.964163 -2.50037) + (xy 12.964491 -2.045321) + (xy 12.964583 -1.596093) + (xy 12.964546 -1.131618) + (xy 12.964416 -0.712852) + (xy 12.964164 -0.337395) + (xy 12.963763 -0.002849) + (xy 12.963185 0.293184) + (xy 12.962402 0.553102) + (xy 12.961385 0.779304) + (xy 12.960107 0.974188) + (xy 12.95854 1.140152) + (xy 12.956655 1.279595) + (xy 12.954425 1.394914) + (xy 12.951821 1.488508) + (xy 12.948816 1.562775) + (xy 12.945381 1.620114) + (xy 12.941489 1.662923) + (xy 12.93711 1.6936) + (xy 12.932219 1.714543) + (xy 12.926785 1.728151) + (xy 12.925702 1.730084) + (xy 12.869652 1.800757) + (xy 12.795465 1.843331) + (xy 12.689463 1.865399) + (xy 12.680124 1.866411) + (xy 12.644485 1.869028) + (xy 12.563849 1.874252) + (xy 12.44124 1.881904) + (xy 12.279687 1.891808) + (xy 12.082215 1.903784) + (xy 11.851852 1.917655) + (xy 11.591625 1.933244) + (xy 11.30456 1.950372) + (xy 10.993684 1.968862) + (xy 10.662024 1.988536) + (xy 10.312607 2.009215) + (xy 9.948459 2.030722) + (xy 9.572608 2.05288) + (xy 9.18808 2.075509) + (xy 8.797902 2.098433) + (xy 8.4051 2.121474) + (xy 8.012703 2.144453) + (xy 7.623736 2.167192) + (xy 7.241226 2.189515) + (xy 6.8682 2.211243) + (xy 6.507685 2.232198) + (xy 6.162708 2.252202) + (xy 5.836296 2.271078) + (xy 5.531475 2.288647) + (xy 5.251272 2.304732) + (xy 4.998714 2.319155) + (xy 4.776828 2.331738) + (xy 4.58864 2.342303) + (xy 4.467281 2.349023) + (xy 3.703028 2.390993) + (xy 3.6429 2.493593) + (xy 3.562097 2.59457) + (xy 3.45022 2.685249) + (xy 3.324213 2.752441) + (xy 3.297689 2.762284) + (xy 3.240767 2.773205) + (xy 3.146226 2.781909) + (xy 3.024529 2.787707) + (xy 2.886139 2.789914) + (xy 2.876761 2.789917) + (xy 2.737934 2.789076) + (xy 2.636694 2.785917) + (xy 2.562536 2.779149) + (xy 2.504951 2.767483) + (xy 2.453432 2.749627) + (xy 2.416825 2.733443) + (xy 2.26065 2.634921) + (xy 2.13575 2.501064) + (xy 2.085671 2.420564) + (xy 2.074159 2.398227) + (xy 2.064417 2.375098) + (xy 2.056276 2.347135) + (xy 2.049563 2.310299) + (xy 2.044108 2.260548) + (xy 2.03974 2.193844) + (xy 2.036287 2.106144) + (xy 2.033579 1.99341) + (xy 2.031443 1.851601) + (xy 2.02971 1.676676) + (xy 2.028208 1.464596) + (xy 2.026766 1.21132) + (xy 2.025684 1.004214) + (xy 2.018887 -0.312689) + (xy 1.821233 -0.312924) + (xy 1.702551 -0.316712) + (xy 1.612762 -0.329972) + (xy 1.533046 -0.356051) + (xy 1.502398 -0.369589) + (xy 1.391244 -0.439055) + (xy 1.287887 -0.534957) + (xy 1.205224 -0.643274) + (xy 1.157814 -0.744221) + (xy 1.128561 -0.841856) + (xy -0.927007 -0.841856) + (xy -2.982576 -0.841856) + (xy -2.982576 -0.564052) + (xy -2.98567 -0.412797) + (xy -2.996411 -0.301613) + (xy -3.016988 -0.222775) + (xy -3.049589 -0.168557) + (xy -3.096403 -0.131237) + (xy -3.106802 -0.125565) + (xy -3.141684 -0.11198) + (xy -3.212106 -0.087719) + (xy -3.308945 -0.05567) + (xy -3.423078 -0.018719) + (xy -3.545384 0.020247) + (xy -3.66674 0.05834) + (xy -3.778024 0.092674) + (xy -3.870113 0.120362) + (xy -3.933885 0.138516) + (xy -3.959576 0.144318) + (xy -3.962387 0.121473) + (xy -3.964864 0.057768) + (xy -3.966864 -0.03955) + (xy -3.968245 -0.163236) + (xy -3.968865 -0.306044) + (xy -3.968884 -0.330729) + (xy -3.970167 -0.483391) + (xy -3.973656 -0.625142) + (xy -3.978948 -0.74681) + (xy -3.985638 -0.839223) + (xy -3.993323 -0.893209) + (xy -3.993766 -0.894881) + (xy -4.041558 -0.992702) + (xy -4.114933 -1.055566) + (xy -4.204144 -1.080538) + (xy -4.299439 -1.064679) + (xy -4.383285 -1.012237) + (xy -4.461837 -0.942088) + (xy -4.46897 -0.318147) + (xy -4.476102 0.305794) + (xy -4.697474 0.373083) + (xy -4.796403 0.403329) + (xy -4.879407 0.429027) + (xy -4.934662 0.446501) + (xy -4.948911 0.451264) + (xy -4.958072 0.44484) + (xy -4.965292 0.415372) + (xy -4.970763 0.358645) + (xy -4.974676 0.270444) + (xy -4.977222 0.146555) + (xy -4.978592 -0.017239) + (xy -4.978977 -0.212338) + (xy -4.979805 -0.397719) + (xy -4.982154 -0.566539) + (xy -4.985821 -0.712448) + (xy -4.990603 -0.829096) + (xy -4.996298 -0.910132) + (xy -5.002484 -0.948657) + (xy -5.051113 -1.015182) + (xy -5.128325 -1.057762) + (xy -5.219849 -1.074307) + (xy -5.311413 -1.062727) + (xy -5.388745 -1.020931) + (xy -5.402617 -1.007268) + (xy -5.415163 -0.990512) + (xy -5.42533 -0.967402) + (xy -5.433473 -0.932776) + (xy -5.439947 -0.881474) + (xy -5.445107 -0.808334) + (xy -5.449308 -0.708197) + (xy -5.452905 -0.575902) + (xy -5.456255 -0.406288) + (xy -5.45971 -0.194195) + (xy -5.460038 -0.172891) + (xy -5.472065 0.611361) + (xy -5.717739 0.687893) + (xy -5.963414 0.764425) + (xy -5.970296 -0.088819) + (xy -5.977178 -0.942062) + (xy -6.05573 -1.012224) + (xy -6.149382 -1.070854) + (xy -6.244205 -1.081459) + (xy -6.338575 -1.044039) + (xy -6.379687 -1.012224) + (xy -6.458239 -0.942063) + (xy -6.465325 -0.013194) + (xy -6.472412 0.915674) + (xy -6.717882 0.908832) + (xy -6.963352 0.901989) + (xy -6.975379 -0.03217) + (xy -6.987405 -0.96633) + (xy -7.054893 -1.024358) + (xy -7.145975 -1.073163) + (xy -7.249641 -1.077266) + (xy -7.32482 -1.053651) + (xy -7.357073 -1.037611) + (xy -7.383577 -1.018634) + (xy -7.404895 -0.992159) + (xy -7.421592 -0.953626) + (xy -7.434232 -0.898473) + (xy -7.443378 -0.822142) + (xy -7.449594 -0.720071) + (xy -7.453444 -0.5877) + (xy -7.455493 -0.420468) + (xy -7.456303 -0.213815) + (xy -7.45644 0.010832) + (xy -7.45644 0.914015) + (xy -8.587705 0.914015) + (xy -8.867424 0.913956) + (xy -9.10305 0.913441) + (xy -9.298593 0.91197) + (xy -9.458065 0.909039) + (xy -9.585477 0.904145) + (xy -9.684843 0.896786) + (xy -9.760173 0.886459) + (xy -9.815479 0.87266) + (xy -9.854773 0.854888) + (xy -9.882066 0.83264) + (xy -9.901371 0.805413) + (xy -9.916699 0.772703) + (xy -9.927262 0.746145) + (xy -9.965252 0.649432) + (xy -11.245197 0.649432) + (xy -11.525951 0.649387) + (xy -11.762596 0.649155) + (xy -11.959128 0.64859) + (xy -12.119547 0.647544) + (xy -12.247847 0.645872) + (xy -12.348026 0.643426) + (xy -12.424082 0.640062) + (xy -12.48001 0.635631) + (xy -12.519809 0.629987) + (xy -12.547476 0.622984) + (xy -12.567006 0.614476) + (xy -12.582399 0.604316) + (xy -12.587689 0.600232) + (xy -12.627554 0.559883) + (xy -12.657176 0.505719) + (xy -12.677888 0.430861) + (xy -12.69102 0.328429) + (xy -12.697902 0.191544) + (xy -12.699866 0.020393) + (xy -12.7 -0.332036) + (xy -12.713499 -0.336742) + (xy -12.194887 -0.336742) + (xy -12.194887 -0.096212) + (xy -12.194887 0.144318) + (xy -11.076421 0.144318) + (xy -9.957955 0.144318) + (xy -9.957955 -0.348769) + (xy -9.957955 -0.841856) + (xy -10.198485 -0.841856) + (xy -10.439015 -0.841856) + (xy -10.439105 -0.691525) + (xy -10.453669 -0.553817) + (xy -10.495681 -0.447513) + (xy -10.562892 -0.37733) + (xy -10.596937 -0.360196) + (xy -10.63692 -0.354075) + (xy -10.719463 -0.348635) + (xy -10.83902 -0.344031) + (xy -10.990048 -0.340422) + (xy -11.167003 -0.337962) + (xy -11.36434 -0.33681) + (xy -11.426755 -0.336742) + (xy -12.194887 -0.336742) + (xy -12.713499 -0.336742) + (xy -12.771642 -0.357011) + (xy -12.83246 -0.394579) + (xy -12.88659 -0.453481) + (xy -12.891818 -0.461589) + (xy -12.903506 -0.482443) + (xy -12.913152 -0.506125) + (xy -12.920951 -0.537176) + (xy -12.927099 -0.580139) + (xy -12.931791 -0.639555) + (xy -12.935225 -0.719966) + (xy -12.937594 -0.825912) + (xy -12.939096 -0.961937) + (xy -12.939925 -1.13258) + (xy -12.940278 -1.342385) + (xy -12.940351 -1.5875) + (xy -12.435417 -1.5875) + (xy -12.435417 -0.841856) + (xy -11.689773 -0.841856) + (xy -10.944129 -0.841856) + (xy -10.944129 -1.009848) + (xy -10.942058 -1.102525) + (xy -10.932726 -1.163621) + (xy -10.91145 -1.209591) + (xy -10.873998 -1.256391) + (xy -10.803867 -1.334943) + (xy -10.380911 -1.342507) + (xy -9.957955 -1.35007) + (xy -9.957955 -1.5875) + (xy -9.957955 -1.824929) + (xy -10.380911 -1.832493) + (xy -10.803867 -1.840057) + (xy -10.873998 -1.918609) + (xy -10.911719 -1.96582) + (xy -10.932861 -2.011855) + (xy -10.942104 -2.073171) + (xy -10.944129 -2.165152) + (xy -10.944129 -2.333144) + (xy -11.689773 -2.333144) + (xy -12.435417 -2.333144) + (xy -12.435417 -1.5875) + (xy -12.940351 -1.5875) + (xy -12.940246 -1.84027) + (xy -12.939812 -2.049445) + (xy -12.938866 -2.219536) + (xy -12.937227 -2.355052) + (xy -12.934711 -2.460505) + (xy -12.931139 -2.540406) + (xy -12.926327 -2.599263) + (xy -12.920095 -2.641589) + (xy -12.912259 -2.671894) + (xy -12.902639 -2.694689) + (xy -12.895179 -2.707883) + (xy -12.84201 -2.768653) + (xy -12.77646 -2.812413) + (xy -12.775004 -2.813026) + (xy -12.7 -2.844094) + (xy -12.699911 -3.078788) + (xy -12.194887 -3.078788) + (xy -12.194887 -2.838258) + (xy -11.423995 -2.838258) + (xy -11.195544 -2.837996) + (xy -11.010188 -2.836708) + (xy -10.862915 -2.833633) + (xy -10.748714 -2.828014) + (xy -10.662575 -2.819092) + (xy -10.599485 -2.806109) + (xy -10.554435 -2.788307) + (xy -10.522414 -2.764928) + (xy -10.498409 -2.735213) + (xy -10.47741 -2.698403) + (xy -10.475502 -2.694727) + (xy -10.454131 -2.627409) + (xy -10.441189 -2.534344) + (xy -10.439015 -2.478657) + (xy -10.439015 -2.333144) + (xy -10.198485 -2.333144) + (xy -9.957955 -2.333144) + (xy -9.957955 -2.806929) + (xy -9.452841 -2.806929) + (xy -9.452841 -2.560326) + (xy -9.290483 -2.489894) + (xy -9.111893 -2.386873) + (xy -8.957062 -2.245613) + (xy -8.832917 -2.072938) + (xy -8.7964 -2.002988) + (xy -8.76116 -1.924917) + (xy -8.73864 -1.860204) + (xy -8.726023 -1.793682) + (xy -8.72049 -1.710182) + (xy -8.719225 -1.594536) + (xy -8.719224 -1.5875) + (xy -8.720561 -1.467721) + (xy -8.726229 -1.38131) + (xy -8.738713 -1.313563) + (xy -8.760499 -1.249778) + (xy -8.786022 -1.192305) + (xy -8.896464 -1.005321) + (xy -9.036795 -0.846894) + (xy -9.200315 -0.72336) + (xy -9.362642 -0.646949) + (xy -9.452841 -0.615715) + (xy -9.452841 -0.36799) + (xy -9.451063 -0.265396) + (xy -9.446259 -0.183522) + (xy -9.439227 -0.1324) + (xy -9.433154 -0.120265) + (xy -9.400797 -0.126545) + (xy -9.338058 -0.142739) + (xy -9.282822 -0.158325) + (xy -9.045893 -0.251779) + (xy -8.828265 -0.385985) + (xy -8.63535 -0.55564) + (xy -8.47256 -0.755439) + (xy -8.345306 -0.980077) + (xy -8.28406 -1.137359) + (xy -8.251912 -1.247015) + (xy -8.232413 -1.345416) + (xy -8.222763 -1.452279) + (xy -8.220166 -1.58732) + (xy -8.220166 -1.5875) + (xy -8.220991 -1.599526) + (xy 1.659659 -1.599526) + (xy 1.660269 -1.378591) + (xy 1.662188 -1.202302) + (xy 1.665555 -1.067218) + (xy 1.670504 -0.969892) + (xy 1.677173 -0.906882) + (xy 1.685698 -0.874743) + (xy 1.688523 -0.87072) + (xy 1.727625 -0.854982) + (xy 1.799579 -0.84463) + (xy 1.86892 -0.841856) + (xy 2.020454 -0.841856) + (xy 2.020454 -1.599526) + (xy 2.020454 -1.603706) + (xy 2.549621 -1.603706) + (xy 2.549649 -1.103166) + (xy 2.549746 -0.648513) + (xy 2.549938 -0.23753) + (xy 2.550245 0.132003) + (xy 2.550693 0.462303) + (xy 2.551303 0.75559) + (xy 2.552099 1.014081) + (xy 2.553104 1.239996) + (xy 2.554342 1.435551) + (xy 2.555834 1.602967) + (xy 2.557605 1.744462) + (xy 2.559677 1.862253) + (xy 2.562074 1.95856) + (xy 2.564819 2.0356) + (xy 2.567934 2.095593) + (xy 2.571444 2.140756) + (xy 2.57537 2.173308) + (xy 2.579736 2.195468) + (xy 2.584566 2.209454) + (xy 2.589429 2.216998) + (xy 2.634512 2.239483) + (xy 2.714145 2.255045) + (xy 2.815258 2.263592) + (xy 2.92478 2.265032) + (xy 3.029643 2.259272) + (xy 3.116776 2.246219) + (xy 3.173111 2.22578) + (xy 3.179119 2.221177) + (xy 3.18493 2.214524) + (xy 3.190206 2.204089) + (xy 3.194972 2.187637) + (xy 3.199256 2.162934) + (xy 3.203082 2.127743) + (xy 3.206476 2.079829) + (xy 3.209465 2.016958) + (xy 3.212073 1.936892) + (xy 3.214327 1.837397) + (xy 3.216252 1.716238) + (xy 3.217874 1.571179) + (xy 3.219219 1.399985) + (xy 3.220313 1.200419) + (xy 3.221181 0.970248) + (xy 3.22185 0.707235) + (xy 3.222344 0.409145) + (xy 3.22269 0.073743) + (xy 3.222913 -0.301207) + (xy 3.22304 -0.717941) + (xy 3.223095 -1.178693) + (xy 3.223106 -1.595347) + (xy 3.223106 -1.599526) + (xy 3.752273 -1.599526) + (xy 3.752432 -1.053997) + (xy 3.752911 -0.556298) + (xy 3.753712 -0.106155) + (xy 3.754836 0.296707) + (xy 3.756286 0.652564) + (xy 3.758064 0.961688) + (xy 3.760171 1.224356) + (xy 3.76261 1.440843) + (xy 3.765383 1.611421) + (xy 3.76849 1.736367) + (xy 3.771935 1.815956) + (xy 3.77572 1.850461) + (xy 3.776797 1.852083) + (xy 3.805203 1.850768) + (xy 3.876687 1.847004) + (xy 3.9863 1.841064) + (xy 4.12909 1.833221) + (xy 4.300108 1.823748) + (xy 4.494403 1.812917) + (xy 4.707025 1.801003) + (xy 4.877223 1.791424) + (xy 5.086958 1.779534) + (xy 5.328489 1.765727) + (xy 5.598579 1.750193) + (xy 5.893987 1.733124) + (xy 6.211476 1.714712) + (xy 6.547805 1.695147) + (xy 6.899737 1.674621) + (xy 7.264033 1.653325) + (xy 7.637453 1.631451) + (xy 8.016759 1.609188) + (xy 8.398713 1.58673) + (xy 8.780074 1.564266) + (xy 9.157605 1.541989) + (xy 9.528066 1.520088) + (xy 9.888218 1.498757) + (xy 10.234824 1.478185) + (xy 10.564643 1.458564) + (xy 10.874437 1.440086) + (xy 11.160968 1.422941) + (xy 11.420995 1.407321) + (xy 11.651282 1.393417) + (xy 11.848588 1.38142) + (xy 12.009674 1.371522) + (xy 12.131303 1.363913) + (xy 12.210235 1.358785) + (xy 12.236979 1.356883) + (xy 12.435417 1.34128) + (xy 12.435417 -1.602371) + (xy 12.435328 -1.974413) + (xy 12.435071 -2.332854) + (xy 12.434655 -2.674783) + (xy 12.434091 -2.997289) + (xy 12.433391 -3.297461) + (xy 12.432565 -3.572388) + (xy 12.431624 -3.819159) + (xy 12.43058 -4.034864) + (xy 12.429442 -4.216591) + (xy 12.428222 -4.36143) + (xy 12.426931 -4.466469) + (xy 12.42558 -4.528798) + (xy 12.424387 -4.546023) + (xy 12.399029 -4.547429) + (xy 12.329922 -4.551477) + (xy 12.221334 -4.557912) + (xy 12.077536 -4.56648) + (xy 11.902796 -4.576924) + (xy 11.701383 -4.588989) + (xy 11.477568 -4.602421) + (xy 11.235618 -4.616965) + (xy 11.023298 -4.629745) + (xy 10.713182 -4.648377) + (xy 10.38193 -4.668193) + (xy 10.032531 -4.689018) + (xy 9.667974 -4.710679) + (xy 9.291248 -4.733) + (xy 8.905342 -4.755809) + (xy 8.513244 -4.778931) + (xy 8.117942 -4.802192) + (xy 7.722426 -4.825417) + (xy 7.329684 -4.848432) + (xy 6.942705 -4.871063) + (xy 6.564478 -4.893136) + (xy 6.19799 -4.914477) + (xy 5.846232 -4.934911) + (xy 5.512191 -4.954265) + (xy 5.198856 -4.972363) + (xy 4.909217 -4.989032) + (xy 4.64626 -5.004098) + (xy 4.412976 -5.017387) + (xy 4.212353 -5.028723) + (xy 4.04738 -5.037934) + (xy 3.921045 -5.044845) + (xy 3.836337 -5.049281) + (xy 3.796244 -5.051068) + (xy 3.794365 -5.051097) + (xy 3.788559 -5.049315) + (xy 3.78331 -5.042417) + (xy 3.778592 -5.028096) + (xy 3.774377 -5.004047) + (xy 3.770635 -4.967961) + (xy 3.76734 -4.917531) + (xy 3.764462 -4.850451) + (xy 3.761974 -4.764414) + (xy 3.759848 -4.657111) + (xy 3.758055 -4.526237) + (xy 3.756567 -4.369484) + (xy 3.755357 -4.184545) + (xy 3.754395 -3.969112) + (xy 3.753655 -3.72088) + (xy 3.753107 -3.43754) + (xy 3.752724 -3.116786) + (xy 3.752477 -2.75631) + (xy 3.752339 -2.353806) + (xy 3.752281 -1.906966) + (xy 3.752273 -1.599526) + (xy 3.223106 -1.599526) + (xy 3.223078 -2.095887) + (xy 3.222981 -2.55054) + (xy 3.222789 -2.961523) + (xy 3.222482 -3.331056) + (xy 3.222034 -3.661356) + (xy 3.221424 -3.954643) + (xy 3.220628 -4.213134) + (xy 3.219623 -4.439048) + (xy 3.218385 -4.634604) + (xy 3.216893 -4.80202) + (xy 3.215122 -4.943515) + (xy 3.21305 -5.061306) + (xy 3.210653 -5.157613) + (xy 3.207908 -5.234653) + (xy 3.204793 -5.294646) + (xy 3.201283 -5.339809) + (xy 3.197357 -5.372361) + (xy 3.19299 -5.394521) + (xy 3.188161 -5.408507) + (xy 3.183298 -5.416051) + (xy 3.138215 -5.438536) + (xy 3.058582 -5.454098) + (xy 2.957469 -5.462645) + (xy 2.847947 -5.464085) + (xy 2.743084 -5.458325) + (xy 2.65595 -5.445272) + (xy 2.599616 -5.424833) + (xy 2.593608 -5.42023) + (xy 2.587797 -5.413577) + (xy 2.582521 -5.403142) + (xy 2.577755 -5.38669) + (xy 2.573471 -5.361987) + (xy 2.569645 -5.326796) + (xy 2.566251 -5.278882) + (xy 2.563262 -5.21601) + (xy 2.560654 -5.135945) + (xy 2.5584 -5.03645) + (xy 2.556475 -4.915291) + (xy 2.554853 -4.770232) + (xy 2.553508 -4.599037) + (xy 2.552414 -4.399472) + (xy 2.551546 -4.169301) + (xy 2.550877 -3.906288) + (xy 2.550383 -3.608198) + (xy 2.550037 -3.272796) + (xy 2.549814 -2.897845) + (xy 2.549687 -2.481112) + (xy 2.549632 -2.02036) + (xy 2.549621 -1.603706) + (xy 2.020454 -1.603706) + (xy 2.020454 -2.357197) + (xy 1.86892 -2.357197) + (xy 1.781846 -2.352747) + (xy 1.715766 -2.341013) + (xy 1.688523 -2.328333) + (xy 1.679437 -2.304464) + (xy 1.672246 -2.250718) + (xy 1.666815 -2.16365) + (xy 1.663005 -2.039818) + (xy 1.660682 -1.875775) + (xy 1.659708 -1.66808) + (xy 1.659659 -1.599526) + (xy -8.220991 -1.599526) + (xy -8.237253 -1.836546) + (xy -8.290695 -2.064236) + (xy -8.383761 -2.2795) + (xy -8.519723 -2.491267) + (xy -8.579097 -2.567502) + (xy -8.687142 -2.676671) + (xy -8.828504 -2.785311) + (xy -8.989041 -2.885036) + (xy -9.154611 -2.967463) + (xy -9.31107 -3.024208) + (xy -9.374669 -3.039118) + (xy -9.452841 -3.053532) + (xy -9.452841 -2.806929) + (xy -9.957955 -2.806929) + (xy -9.957955 -2.826231) + (xy -9.957955 -3.319318) + (xy -11.076421 -3.319318) + (xy -12.194887 -3.319318) + (xy -12.194887 -3.078788) + (xy -12.699911 -3.078788) + (xy -12.699866 -3.195958) + (xy -12.697745 -3.372518) + (xy -12.690645 -3.507994) + (xy -12.677235 -3.609267) + (xy -12.656179 -3.683221) + (xy -12.626147 -3.736738) + (xy -12.587689 -3.775232) + (xy -12.572803 -3.786025) + (xy -12.555213 -3.795113) + (xy -12.530923 -3.802641) + (xy -12.495932 -3.808757) + (xy -12.446242 -3.813607) + (xy -12.377855 -3.817338) + (xy -12.286772 -3.820095) + (xy -12.168994 -3.822027) + (xy -12.020523 -3.82328) + (xy -11.837358 -3.824) + (xy -11.615503 -3.824334) + (xy -11.350958 -3.824428) + (xy -11.246177 -3.824432) + (xy -9.967213 -3.824432) + (xy -9.927279 -3.914631) + (xy -9.911576 -3.950638) + (xy -9.896339 -3.980887) + (xy -9.877496 -4.005903) + (xy -9.850974 -4.026213) + (xy -9.8127 -4.042342) + (xy -9.758602 -4.054818) + (xy -9.684608 -4.064164) + (xy -9.586644 -4.070909) + (xy -9.460638 -4.075578) + (xy -9.302518 -4.078696) + (xy -9.108211 -4.08079) + (xy -8.873645 -4.082387) + (xy -8.616998 -4.083878) + (xy -7.45644 -4.090768) + (xy -7.45644 -3.186708) + (xy -7.456264 -2.940361) + (xy -7.455362 -2.73756) + (xy -7.45317 -2.57375) + (xy -7.449125 -2.44437) + (xy -7.442664 -2.344864) + (xy -7.433224 -2.270672) + (xy -7.420242 -2.217237) + (xy -7.403155 -2.18) + (xy -7.381398 -2.154404) + (xy -7.35441 -2.13589) + (xy -7.32482 -2.121349) + (xy -7.21655 -2.094178) + (xy -7.116041 -2.112232) + (xy -7.054893 -2.150642) + (xy -6.987405 -2.20867) + (xy -6.975379 -3.142829) + (xy -6.963352 -4.076989) + (xy -6.717882 -4.083831) + (xy -6.472412 -4.090674) + (xy -6.465325 -3.161806) + (xy -6.458239 -2.232937) + (xy -6.379687 -2.162775) + (xy -6.286035 -2.104146) + (xy -6.191211 -2.093541) + (xy -6.096842 -2.130961) + (xy -6.05573 -2.162776) + (xy -5.977178 -2.232938) + (xy -5.970296 -3.086181) + (xy -5.963414 -3.939425) + (xy -5.717739 -3.862893) + (xy -5.472065 -3.786361) + (xy -5.460038 -2.997509) + (xy -5.448012 -2.208657) + (xy -5.380524 -2.150635) + (xy -5.289441 -2.1015) + (xy -5.188192 -2.093562) + (xy -5.091452 -2.12682) + (xy -5.058491 -2.150634) + (xy -4.991004 -2.208653) + (xy -4.983955 -2.922506) + (xy -4.981481 -3.133404) + (xy -4.978536 -3.300418) + (xy -4.974893 -3.427769) + (xy -4.970322 -3.519677) + (xy -4.964599 -3.580364) + (xy -4.957495 -3.614052) + (xy -4.948783 -3.62496) + (xy -4.94616 -3.624561) + (xy -4.910757 -3.61282) + (xy -4.841157 -3.59106) + (xy -4.749272 -3.562979) + (xy -4.694639 -3.546506) + (xy -4.473864 -3.480249) + (xy -4.473864 -2.892292) + (xy -4.473354 -2.699924) + (xy -4.471601 -2.549613) + (xy -4.468265 -2.435318) + (xy -4.463011 -2.351) + (xy -4.4555 -2.290617) + (xy -4.445395 -2.248129) + (xy -4.436625 -2.22586) + (xy -4.376678 -2.146053) + (xy -4.297288 -2.102452) + (xy -4.209129 -2.093748) + (xy -4.122874 -2.118635) + (xy -4.049194 -2.175804) + (xy -3.998765 -2.263948) + (xy -3.993766 -2.280119) + (xy -3.986039 -2.331726) + (xy -3.979283 -2.422264) + (xy -3.973904 -2.542562) + (xy -3.970305 -2.683447) + (xy -3.968891 -2.835747) + (xy -3.968884 -2.844271) + (xy -3.968402 -2.989517) + (xy -3.967136 -3.116827) + (xy -3.965228 -3.218955) + (xy -3.962819 -3.288654) + (xy -3.960053 -3.31868) + (xy -3.959576 -3.319318) + (xy -3.927995 -3.312141) + (xy -3.859995 -3.292578) + (xy -3.764754 -3.263579) + (xy -3.651448 -3.228095) + (xy -3.529256 -3.189076) + (xy -3.407354 -3.149473) + (xy -3.294919 -3.112236) + (xy -3.201129 -3.080317) + (xy -3.135161 -3.056664) + (xy -3.10877 -3.045774) + (xy -3.058723 -3.01188) + (xy -3.023426 -2.965038) + (xy -3.000565 -2.897228) + (xy -2.987824 -2.800429) + (xy -2.982888 -2.666623) + (xy -2.982576 -2.608622) + (xy -2.982576 -2.333144) + (xy -0.929168 -2.333144) + (xy 1.12424 -2.333144) + (xy 1.154613 -2.441624) + (xy 1.206892 -2.556583) + (xy 1.293196 -2.669965) + (xy 1.401051 -2.767861) + (xy 1.502398 -2.829464) + (xy 1.582802 -2.861019) + (xy 1.666244 -2.878618) + (xy 1.771444 -2.88558) + (xy 1.822017 -2.886129) + (xy 2.020454 -2.886364) + (xy 2.020589 -4.155161) + (xy 2.021101 -4.454209) + (xy 2.022541 -4.721444) + (xy 2.024865 -4.954378) + (xy 2.028025 -5.150524) + (xy 2.031978 -5.307394) + (xy 2.036677 -5.4225) + (xy 2.042078 -5.493355) + (xy 2.045318 -5.512512) + (xy 2.110707 -5.661523) + (xy 2.215619 -5.79247) + (xy 2.35228 -5.896086) + (xy 2.379485 -5.911032) + (xy 2.439943 -5.941731) + (xy 2.490294 -5.963113) + (xy 2.541174 -5.976862) + (xy 2.603215 -5.984664) + (xy 2.687052 -5.988203) + (xy 2.803319 -5.989164) + (xy 2.872849 -5.989204) + ) + + (stroke (width 0.000000) (type solid)) (fill solid) (layer "F.SilkS") (uuid 66d2f27a-4da1-4d20-9d5b-725ae7251785)) + (fp_poly + (pts + (xy 11.627259 -0.150995) + (xy 11.665944 -0.148706) + (xy 11.687657 -0.145046) + (xy 11.690181 -0.144149) + (xy 11.771453 -0.087553) + (xy 11.821784 -0.00584) + (xy 11.840656 0.088882) + (xy 11.82755 0.184502) + (xy 11.781949 0.26891) + (xy 11.710325 0.326526) + (xy 11.68467 0.333896) + (xy 11.633759 0.341822) + (xy 11.555225 0.350466) + (xy 11.446699 0.35999) + (xy 11.305811 0.370555) + (xy 11.130194 0.382323) + (xy 10.917479 0.395455) + (xy 10.665296 0.410114) + (xy 10.371277 0.42646) + (xy 10.033053 0.444656) + (xy 9.797259 0.457087) + (xy 9.456717 0.474932) + (xy 9.094945 0.493903) + (xy 8.72027 0.513564) + (xy 8.341019 0.533478) + (xy 7.965518 0.553206) + (xy 7.602093 0.572312) + (xy 7.259072 0.590357) + (xy 6.944781 0.606904) + (xy 6.667546 0.621516) + (xy 6.588234 0.625701) + (xy 6.33058 0.639128) + (xy 6.082367 0.651739) + (xy 5.848661 0.663297) + (xy 5.634531 0.673567) + (xy 5.445043 0.682314) + (xy 5.285266 0.6893) + (xy 5.160266 0.694291) + (xy 5.075111 0.697051) + (xy 5.044677 0.697538) + (xy 4.949783 0.696156) + (xy 4.888293 0.689183) + (xy 4.845523 0.67238) + (xy 4.806791 0.641504) + (xy 4.788403 0.623529) + (xy 4.730102 0.535913) + (xy 4.711396 0.438726) + (xy 4.729575 0.343457) + (xy 4.781931 0.261594) + (xy 4.865754 0.204624) + (xy 4.889154 0.196264) + (xy 4.9446 0.185465) + (xy 5.034733 0.174504) + (xy 5.146161 0.164796) + (xy 5.24356 0.158788) + (xy 5.302261 0.155809) + (xy 5.405793 0.15049) + (xy 5.550947 0.142999) + (xy 5.734515 0.133503) + (xy 5.953288 0.122167) + (xy 6.204056 0.109158) + (xy 6.483611 0.094643) + (xy 6.788743 0.078789) + (xy 7.116243 0.061762) + (xy 7.462903 0.043729) + (xy 7.825512 0.024857) + (xy 8.200863 0.005311) + (xy 8.570472 -0.013945) + (xy 9.031681 -0.037893) + (xy 9.447077 -0.059268) + (xy 9.818877 -0.078161) + (xy 10.149302 -0.094665) + (xy 10.440568 -0.108874) + (xy 10.694896 -0.120879) + (xy 10.914503 -0.130773) + (xy 11.101608 -0.138649) + (xy 11.25843 -0.144599) + (xy 11.387188 -0.148716) + (xy 11.490099 -0.151093) + (xy 11.569383 -0.151822) + ) + + (stroke (width 0.000000) (type solid)) (fill solid) (layer "F.SilkS") (uuid 8868b3d1-61e0-4774-a64e-736bfec60084)) + (fp_poly + (pts + (xy 9.920408 -1.876202) + (xy 10.228009 -1.875781) + (xy 10.499259 -1.874946) + (xy 10.73651 -1.873583) + (xy 10.942113 -1.871573) + (xy 11.118419 -1.8688) + (xy 11.26778 -1.865147) + (xy 11.392545 -1.860498) + (xy 11.495068 -1.854735) + (xy 11.577698 -1.847742) + (xy 11.642787 -1.839402) + (xy 11.692685 -1.829598) + (xy 11.729745 -1.818213) + (xy 11.756317 -1.805131) + (xy 11.774751 -1.790234) + (xy 11.787401 -1.773407) + (xy 11.796615 -1.754531) + (xy 11.804746 -1.733491) + (xy 11.814145 -1.710169) + (xy 11.818746 -1.700257) + (xy 11.845967 -1.63523) + (xy 11.84955 -1.585059) + (xy 11.831251 -1.523747) + (xy 11.830756 -1.522443) + (xy 11.789703 -1.449525) + (xy 11.734314 -1.388902) + (xy 11.73009 -1.385633) + (xy 11.662201 -1.334943) + (xy 8.302549 -1.329695) + (xy 7.819981 -1.329009) + (xy 7.383343 -1.328547) + (xy 6.99046 -1.328324) + (xy 6.639157 -1.328357) + (xy 6.327258 -1.328665) + (xy 6.052587 -1.329262) + (xy 5.81297 -1.330168) + (xy 5.60623 -1.331397) + (xy 5.430193 -1.332969) + (xy 5.282684 -1.334898) + (xy 5.161526 -1.337204) + (xy 5.064544 -1.339901) + (xy 4.989564 -1.343008) + (xy 4.934409 -1.346541) + (xy 4.896904 -1.350518) + (xy 4.874875 -1.354955) + (xy 4.870738 -1.356475) + (xy 4.773367 -1.418538) + (xy 4.718239 -1.50145) + (xy 4.702367 -1.599526) + (xy 4.720823 -1.704474) + (xy 4.778319 -1.784552) + (xy 4.875979 -1.843376) + (xy 4.893842 -1.84809) + (xy 4.925529 -1.852348) + (xy 4.97326 -1.856172) + (xy 5.039256 -1.859583) + (xy 5.125738 -1.862603) + (xy 5.234926 -1.865253) + (xy 5.369042 -1.867553) + (xy 5.530305 -1.869527) + (xy 5.720937 -1.871194) + (xy 5.943158 -1.872577) + (xy 6.199189 -1.873696) + (xy 6.491251 -1.874573) + (xy 6.821564 -1.87523) + (xy 7.192349 -1.875687) + (xy 7.605827 -1.875966) + (xy 8.064218 -1.876089) + (xy 8.279483 -1.876099) + (xy 8.755995 -1.87616) + (xy 9.186753 -1.876275) + (xy 9.574107 -1.876328) + ) + + (stroke (width 0.000000) (type solid)) (fill solid) (layer "F.SilkS") (uuid 12421fa8-fe23-4fa4-8c95-50daf841b758)) + (fp_poly + (pts + (xy 5.17195 -3.891485) + (xy 5.295819 -3.887877) + (xy 5.447686 -3.881956) + (xy 5.630755 -3.873706) + (xy 5.84823 -3.86311) + (xy 6.103316 -3.850153) + (xy 6.399216 -3.834818) + (xy 6.739133 -3.817088) + (xy 6.833993 -3.812142) + (xy 7.399648 -3.782657) + (xy 7.919247 -3.755543) + (xy 8.394773 -3.730684) + (xy 8.828212 -3.707963) + (xy 9.221548 -3.687264) + (xy 9.576765 -3.66847) + (xy 9.895848 -3.651464) + (xy 10.180783 -3.63613) + (xy 10.433553 -3.622352) + (xy 10.656143 -3.610012) + (xy 10.850538 -3.598994) + (xy 11.018723 -3.589182) + (xy 11.162683 -3.580458) + (xy 11.284401 -3.572707) + (xy 11.385862 -3.565812) + (xy 11.469052 -3.559656) + (xy 11.535955 -3.554123) + (xy 11.588556 -3.549095) + (xy 11.628839 -3.544457) + (xy 11.658788 -3.540092) + (xy 11.680389 -3.535884) + (xy 11.695627 -3.531715) + (xy 11.706485 -3.527469) + (xy 11.710062 -3.525715) + (xy 11.786183 -3.462313) + (xy 11.829708 -3.376005) + (xy 11.840644 -3.279258) + (xy 11.818995 -3.184541) + (xy 11.764767 -3.104321) + (xy 11.709857 -3.064709) + (xy 11.686052 -3.054084) + (xy 11.657207 -3.045804) + (xy 11.618849 -3.039931) + (xy 11.566502 -3.036524) + (xy 11.495692 -3.035642) + (xy 11.401943 -3.037346) + (xy 11.28078 -3.041695) + (xy 11.127729 -3.04875) + (xy 10.938314 -3.058569) + (xy 10.708061 -3.071214) + (xy 10.547254 -3.080248) + (xy 10.410076 -3.087866) + (xy 10.229635 -3.097682) + (xy 10.01071 -3.109446) + (xy 9.758081 -3.122906) + (xy 9.476528 -3.137809) + (xy 9.170831 -3.153905) + (xy 8.84577 -3.170942) + (xy 8.506124 -3.188669) + (xy 8.156674 -3.206833) + (xy 7.8022 -3.225183) + (xy 7.447481 -3.243468) + (xy 7.396307 -3.246099) + (xy 7.060467 -3.26345) + (xy 6.737104 -3.280332) + (xy 6.429596 -3.296558) + (xy 6.141323 -3.311943) + (xy 5.875665 -3.326299) + (xy 5.636003 -3.339442) + (xy 5.425715 -3.351184) + (xy 5.248183 -3.36134) + (xy 5.106784 -3.369723) + (xy 5.004901 -3.376148) + (xy 4.945911 -3.380428) + (xy 4.933265 -3.381752) + (xy 4.861237 -3.408124) + (xy 4.791482 -3.455474) + (xy 4.782934 -3.463555) + (xy 4.736158 -3.522401) + (xy 4.716835 -3.588116) + (xy 4.714394 -3.640334) + (xy 4.721716 -3.720667) + (xy 4.750768 -3.780369) + (xy 4.788403 -3.822582) + (xy 4.804465 -3.839053) + (xy 4.819689 -3.853358) + (xy 4.837281 -3.865481) + (xy 4.860442 -3.875406) + (xy 4.892379 -3.883117) + (xy 4.936294 -3.888596) + (xy 4.995391 -3.891828) + (xy 5.072875 -3.892797) + ) + + (stroke (width 0.000000) (type solid)) (fill solid) (layer "F.SilkS") (uuid 8cd90d73-a902-4f8f-9c93-7442f1652b6a)) +) diff --git a/kicad/fp-lib-table b/kicad/fp-lib-table new file mode 100644 index 0000000..114ec4a --- /dev/null +++ b/kicad/fp-lib-table @@ -0,0 +1,4 @@ +(fp_lib_table + (version 7) + (lib (name "trashernet_soc")(type "KiCad")(uri "${KIPRJMOD}/footprints/trashernet_soc.pretty")(options "")(descr "")) +) diff --git a/kicad/power.kicad_sch b/kicad/power.kicad_sch new file mode 100644 index 0000000..a20f008 --- /dev/null +++ b/kicad/power.kicad_sch @@ -0,0 +1,4173 @@ +(kicad_sch + (version 20231120) + (generator "eeschema") + (generator_version "8.0") + (uuid "50245fe9-16a2-46fe-8648-51ebfd485de2") + (paper "A4") + (lib_symbols + (symbol "Device:C" + (pin_numbers hide) + (pin_names + (offset 0.254) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "C" + (at 0.635 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "C" + (at 0.635 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 0.9652 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "cap capacitor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "C_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "C_0_1" + (polyline + (pts + (xy -2.032 -0.762) (xy 2.032 -0.762) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.032 0.762) (xy 2.032 0.762) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "C_1_1" + (pin passive line + (at 0 3.81 270) + (length 2.794) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 2.794) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "FPGA_Lattice:ICE40UP5K-SG48ITR" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -8.89 -29.21 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "ICE40UP5K-SG48ITR" + (at 0 -31.75 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" + (at 0 -34.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.latticesemi.com/Products/FPGAandCPLD/iCE40Ultra" + (at -10.16 25.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "iCE40 UltraPlus FPGA, 5280 LUTs, 1.2V, 48-pin QFN" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "FPGA programmable logic" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "ICE40UP5K-SG48ITR_1_1" + (rectangle + (start -7.62 25.4) + (end 7.62 -27.94) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin bidirectional line + (at -10.16 12.7 0) + (length 2.54) + (name "IOT_37a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "23" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 15.24 0) + (length 2.54) + (name "IOT_36b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "25" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 7.62 0) + (length 2.54) + (name "IOT_39a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "26" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 10.16 0) + (length 2.54) + (name "IOT_38b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "27" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 5.08 0) + (length 2.54) + (name "IOT_41a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "28" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 2.54 0) + (length 2.54) + (name "IOT_42b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "31" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 0 0) + (length 2.54) + (name "IOT_43a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "32" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 27.94 270) + (length 2.54) + (name "VCCIO_0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "33" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -2.54 0) + (length 2.54) + (name "IOT_44b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "34" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -7.62 0) + (length 2.54) + (name "IOT_46b_G0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "35" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -10.16 0) + (length 2.54) + (name "IOT_48b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "36" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -5.08 0) + (length 2.54) + (name "IOT_45a_G1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "37" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -15.24 0) + (length 2.54) + (name "IOT_50b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "38" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin open_collector line + (at -10.16 -20.32 0) + (length 2.54) + (name "RGB0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "39" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin open_collector line + (at -10.16 -22.86 0) + (length 2.54) + (name "RGB1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "40" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin open_collector line + (at -10.16 -25.4 0) + (length 2.54) + (name "RGB2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "41" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -17.78 0) + (length 2.54) + (name "IOT_51a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "42" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -12.7 0) + (length 2.54) + (name "IOT_49a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "43" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "ICE40UP5K-SG48ITR_2_1" + (rectangle + (start -10.16 25.4) + (end 10.16 -25.4) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin bidirectional line + (at -12.7 5.08 0) + (length 2.54) + (name "IOB_18a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 2.54 0) + (length 2.54) + (name "IOB_20a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 0 0) + (length 2.54) + (name "IOB_22a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -5.08 0) + (length 2.54) + (name "IOB_24a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -15.24 0) + (length 2.54) + (name "IOB_32a_SPI_SO" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -20.32 0) + (length 2.54) + (name "IOB_34a_SPI_SCK" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -22.86 0) + (length 2.54) + (name "IOB_35b_SPI_SS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -17.78 0) + (length 2.54) + (name "IOB_33b_SPI_SI" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -12.7 0) + (length 2.54) + (name "IOB_31b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -10.16 0) + (length 2.54) + (name "IOB_29b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -7.62 0) + (length 2.54) + (name "IOB_25b_G3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -2.54 0) + (length 2.54) + (name "IOB_23b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "21" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 27.94 270) + (length 2.54) + (name "SPI_VCCIO1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "22" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 10.16 0) + (length 2.54) + (name "IOB_13b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin open_collector line + (at 12.7 17.78 180) + (length 2.54) + (name "CDONE" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 17.78 0) + (length 2.54) + (name "~{CRESET}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 7.62 0) + (length 2.54) + (name "IOB_16a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "ICE40UP5K-SG48ITR_3_1" + (rectangle + (start -7.62 15.24) + (end 7.62 -15.24) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin power_in line + (at 0 17.78 270) + (length 2.54) + (name "VCCIO_2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -7.62 0) + (length 2.54) + (name "IOB_6a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -12.7 0) + (length 2.54) + (name "IOB_9b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -10.16 0) + (length 2.54) + (name "IOB_8a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 0 0) + (length 2.54) + (name "IOB_3b_G6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "44" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -5.08 0) + (length 2.54) + (name "IOB_5b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "45" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 5.08 0) + (length 2.54) + (name "IOB_0a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "46" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 2.54 0) + (length 2.54) + (name "IOB_2a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "47" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -2.54 0) + (length 2.54) + (name "IOB_4a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "48" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "ICE40UP5K-SG48ITR_4_1" + (rectangle + (start -5.08 7.62) + (end 5.08 -7.62) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin power_in line + (at -2.54 10.16 270) + (length 2.54) + (name "VPP_2V5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "24" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 2.54 10.16 270) + (length 2.54) + (name "VCCPLL" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "29" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 10.16 270) + (length 2.54) hide + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "30" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -10.16 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "49" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 10.16 270) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Regulator_Linear:AP2127K-1.2" + (pin_names + (offset 0.254) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -5.08 5.715 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "AP2127K-1.2" + (at 0 5.715 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" + (at 0 8.255 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AP2127.pdf" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "300mA low dropout linear regulator, shutdown pin, 2.5V-6V input voltage, 1.2V fixed positive output, SOT-23-5" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "linear regulator ldo fixed positive" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "SOT?23?5*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "AP2127K-1.2_0_1" + (rectangle + (start -5.08 4.445) + (end 5.08 -5.08) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "AP2127K-1.2_1_1" + (pin power_in line + (at -7.62 2.54 0) + (length 2.54) + (name "VIN" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -7.62 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 0 0) + (length 2.54) + (name "EN" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin no_connect line + (at 5.08 0 180) + (length 2.54) hide + (name "NC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 7.62 2.54 180) + (length 2.54) + (name "VOUT" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Regulator_Linear:AP2127K-3.3" + (pin_names + (offset 0.254) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -5.08 5.715 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "AP2127K-3.3" + (at 0 5.715 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" + (at 0 8.255 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AP2127.pdf" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "300mA low dropout linear regulator, shutdown pin, 2.5V-6V input voltage, 3.3V fixed positive output, SOT-23-5" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "linear regulator ldo fixed positive" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "SOT?23?5*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "AP2127K-3.3_0_1" + (rectangle + (start -5.08 4.445) + (end 5.08 -5.08) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "AP2127K-3.3_1_1" + (pin power_in line + (at -7.62 2.54 0) + (length 2.54) + (name "VIN" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -7.62 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 0 0) + (length 2.54) + (name "EN" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin no_connect line + (at 5.08 0 180) + (length 2.54) hide + (name "NC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 7.62 2.54 180) + (length 2.54) + (name "VOUT" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:+1V2" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+1V2" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+1V2\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "+1V2_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "+1V2_1_1" + (pin power_in line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:+3V3" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:+5V" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "+5V_1_1" + (pin power_in line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:GND" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "GND_1_1" + (pin power_in line + (at 0 0 270) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + ) + (junction + (at 125.73 40.64) + (diameter 0) + (color 0 0 0 0) + (uuid "19002c41-e4cb-416c-890d-ff5c1c7c39bf") + ) + (junction + (at 54.61 53.34) + (diameter 0) + (color 0 0 0 0) + (uuid "4abe6815-c748-4b94-ae8b-d154feb45f6c") + ) + (junction + (at 39.37 40.64) + (diameter 0) + (color 0 0 0 0) + (uuid "6813b5e6-a7d1-4182-8e77-1b0574b88476") + ) + (junction + (at 105.41 40.64) + (diameter 0) + (color 0 0 0 0) + (uuid "68a718d0-f32b-4dda-a90d-3a121da57fe7") + ) + (junction + (at 85.09 40.64) + (diameter 0) + (color 0 0 0 0) + (uuid "972d45d5-be37-4c45-a250-d26a11f1cf9b") + ) + (junction + (at 135.89 45.72) + (diameter 0) + (color 0 0 0 0) + (uuid "a6f96ed9-8c1d-4274-b69c-15126ad22c88") + ) + (junction + (at 80.01 40.64) + (diameter 0) + (color 0 0 0 0) + (uuid "aa2a89c5-167f-4a3c-a6c4-20dbb7a66f51") + ) + (junction + (at 130.81 43.18) + (diameter 0) + (color 0 0 0 0) + (uuid "c0f29ede-c186-41c7-bbbb-75861073030d") + ) + (junction + (at 64.77 40.64) + (diameter 0) + (color 0 0 0 0) + (uuid "dcad0f81-9657-4956-b5fd-af57e897dd46") + ) + (junction + (at 95.25 53.34) + (diameter 0) + (color 0 0 0 0) + (uuid "ee188a6d-8fb3-445c-8713-f19cd31711c6") + ) + (junction + (at 44.45 40.64) + (diameter 0) + (color 0 0 0 0) + (uuid "f16534ad-e926-477d-bc3f-29aeaf98593e") + ) + (wire + (pts + (xy 95.25 53.34) (xy 95.25 50.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0ae228b0-49ca-4fd1-95e5-cd7a35bcfab7") + ) + (wire + (pts + (xy 130.81 48.26) (xy 130.81 43.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0f4a12cf-04b0-466a-a614-f26bb3e3913c") + ) + (wire + (pts + (xy 64.77 40.64) (xy 64.77 43.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "18b7a9d1-6ae5-4633-970c-195d5a5feb49") + ) + (wire + (pts + (xy 158.75 43.18) (xy 161.29 43.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1a078874-3c1d-45b3-8b42-2b42151f5726") + ) + (wire + (pts + (xy 39.37 40.64) (xy 44.45 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2038b0ec-5bf3-481f-ace7-ae7bba518140") + ) + (wire + (pts + (xy 87.63 43.18) (xy 85.09 43.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "247a1e36-3ae0-4140-9c99-7bb28f0483c0") + ) + (wire + (pts + (xy 39.37 53.34) (xy 54.61 53.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "26f1c555-341a-4d06-8b94-ba2e9a2932f7") + ) + (wire + (pts + (xy 135.89 38.1) (xy 135.89 45.72) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2b35f3ab-d7c7-43bc-b5ea-738e3d66265c") + ) + (wire + (pts + (xy 161.29 43.18) (xy 161.29 58.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2d060dcb-565c-4c61-8c76-1b61da39868b") + ) + (wire + (pts + (xy 80.01 50.8) (xy 80.01 53.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2d0aa1e8-8475-4542-a5bb-ad626ec84f06") + ) + (wire + (pts + (xy 80.01 53.34) (xy 95.25 53.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "321c052f-14c3-4ceb-b4a5-18253da28508") + ) + (wire + (pts + (xy 62.23 40.64) (xy 64.77 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "37a6e823-0a0a-433c-9d5d-e156189ea31b") + ) + (wire + (pts + (xy 125.73 48.26) (xy 125.73 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "40d43ddf-7ab5-4602-aecf-a0aa038ba9c4") + ) + (wire + (pts + (xy 64.77 53.34) (xy 54.61 53.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4dd067f4-b252-481a-a0ba-e0d122497961") + ) + (wire + (pts + (xy 130.81 35.56) (xy 130.81 43.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4e74eda6-fe9a-40ab-a1ef-f35b2c7160ab") + ) + (wire + (pts + (xy 54.61 53.34) (xy 54.61 55.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4f88215f-d781-46e6-b0bf-f220a24211fe") + ) + (wire + (pts + (xy 125.73 38.1) (xy 125.73 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "529673b2-d19e-46f3-9e4d-2c17c52cea39") + ) + (wire + (pts + (xy 105.41 38.1) (xy 105.41 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "565bf54b-804e-432f-b681-b662b59ec787") + ) + (wire + (pts + (xy 39.37 50.8) (xy 39.37 53.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5986366e-d277-4b9f-909c-a0d4aa789805") + ) + (wire + (pts + (xy 105.41 53.34) (xy 95.25 53.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5e897519-215d-448a-a914-0a81c8cd36c9") + ) + (wire + (pts + (xy 39.37 38.1) (xy 39.37 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6503d2da-1710-4cde-924e-e4e329c0275e") + ) + (wire + (pts + (xy 44.45 40.64) (xy 44.45 43.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7efb711c-408e-4243-9a86-3264be7fe823") + ) + (wire + (pts + (xy 125.73 40.64) (xy 138.43 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "880f419b-f529-4a05-b0f6-e4072ddac107") + ) + (wire + (pts + (xy 39.37 43.18) (xy 39.37 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8cdde1cb-fd35-4723-802b-14b65f412161") + ) + (wire + (pts + (xy 80.01 40.64) (xy 85.09 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8e2b3ad4-479a-4184-85e6-458c6cb56b02") + ) + (wire + (pts + (xy 54.61 53.34) (xy 54.61 50.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "906bd034-d230-4d4d-83c5-282b62a3bdaa") + ) + (wire + (pts + (xy 64.77 50.8) (xy 64.77 53.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "91b6ca0f-a29c-43b5-8ff5-431aa8141027") + ) + (wire + (pts + (xy 85.09 40.64) (xy 85.09 43.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9829faff-5d04-460b-a6d4-680fb05bb5d6") + ) + (wire + (pts + (xy 130.81 55.88) (xy 130.81 58.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9ac89167-6bd8-4d59-9615-1a1e770c7535") + ) + (wire + (pts + (xy 130.81 43.18) (xy 138.43 43.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9dbdd224-f49d-46ca-a4ee-7f7e258a48e3") + ) + (wire + (pts + (xy 105.41 40.64) (xy 105.41 43.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9df6486f-560b-46ed-80d0-decd562d68f5") + ) + (wire + (pts + (xy 102.87 40.64) (xy 105.41 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a1500c65-55c6-4146-ba5e-5a173061fcb9") + ) + (wire + (pts + (xy 138.43 45.72) (xy 135.89 45.72) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a56e4143-fa6b-4c51-933d-a53b741282f0") + ) + (wire + (pts + (xy 105.41 50.8) (xy 105.41 53.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ab73dac9-c9ff-4ae7-885d-655ebc8dabfe") + ) + (wire + (pts + (xy 80.01 43.18) (xy 80.01 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "aee9a045-334f-4ab7-8edd-eb1b626426c0") + ) + (wire + (pts + (xy 44.45 40.64) (xy 46.99 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "cb4edd83-6887-41b1-871d-eb414fd1eac8") + ) + (wire + (pts + (xy 95.25 53.34) (xy 95.25 55.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "cb8994a6-8be4-4a84-bd5a-9663be15af12") + ) + (wire + (pts + (xy 125.73 55.88) (xy 125.73 58.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d42e1283-0e57-430d-9521-12129d2fb7fa") + ) + (wire + (pts + (xy 135.89 55.88) (xy 135.89 58.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "da0adc98-59a4-4bfe-875d-5d969393e33e") + ) + (wire + (pts + (xy 46.99 43.18) (xy 44.45 43.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "da4cce14-aea3-4aec-bf26-04f0de9ac84d") + ) + (wire + (pts + (xy 80.01 38.1) (xy 80.01 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "da7f2f09-7aad-477c-8a84-80e6d01f8165") + ) + (wire + (pts + (xy 135.89 45.72) (xy 135.89 48.26) + ) + (stroke + (width 0) + (type default) + ) + (uuid "dc1b4a4e-4628-4582-bec5-70077042334d") + ) + (wire + (pts + (xy 85.09 40.64) (xy 87.63 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fa8c8805-20e0-472b-9b82-88536746faef") + ) + (wire + (pts + (xy 64.77 38.1) (xy 64.77 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fcfe9b21-6439-4517-bc6a-f6b7dde83736") + ) + (symbol + (lib_id "power:+5V") + (at 80.01 38.1 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "01d93c64-6df0-4d8e-9353-7c35c4b86b4a") + (property "Reference" "#PWR010" + (at 80.01 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 80.01 33.02 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 80.01 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 80.01 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 80.01 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "38123544-8111-4a01-8454-ecf1d20f59cc") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "#PWR010") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 39.37 38.1 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "10e7a02a-a829-449b-a450-9bdc8d0405e5") + (property "Reference" "#PWR01" + (at 39.37 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 39.37 33.02 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 39.37 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 39.37 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 39.37 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "ae60111d-2dcb-4640-aeea-1aa444b0a3fc") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "#PWR01") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 95.25 55.88 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "39039fc2-0793-4819-a93c-06f993b70cb0") + (property "Reference" "#PWR011" + (at 95.25 62.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 95.25 60.96 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 95.25 55.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 95.25 55.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 95.25 55.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "e21147c3-060c-4239-8f1d-ac1d1ae1f82f") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "#PWR011") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 130.81 58.42 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "42498532-573a-4bb7-b5cb-cb233f124ec2") + (property "Reference" "#PWR016" + (at 130.81 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 130.81 63.5 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 130.81 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 130.81 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 130.81 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "7832fb7c-a9a5-4df0-9cb8-2b677979cf7d") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "#PWR016") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 80.01 46.99 0) + (mirror y) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "42dfa5c1-68a8-40cc-a043-2f58a9d9c302") + (property "Reference" "C7" + (at 76.2 45.7199 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "1u" + (at 76.2 48.2599 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" + (at 79.0448 50.8 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 80.01 46.99 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 80.01 46.99 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "ea739bf7-0df0-484c-b273-974d133a7a4d") + ) + (pin "1" + (uuid "0dba3a82-74e6-48c3-a3e3-8eadec0d42d9") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "C7") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 54.61 55.88 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "4c9bf50c-4c5e-4995-a20d-ca13964ae0eb") + (property "Reference" "#PWR02" + (at 54.61 62.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 54.61 60.96 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 54.61 55.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 54.61 55.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 54.61 55.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "555fc6bd-d68f-42ec-be84-19f3b2644a4d") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "#PWR02") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 135.89 58.42 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "50362499-8990-463b-a526-ecb421bbdd2f") + (property "Reference" "#PWR018" + (at 135.89 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 135.89 63.5 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 135.89 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 135.89 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 135.89 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b68e5509-9f54-48b2-81af-b3c4062a8420") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "#PWR018") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 39.37 46.99 0) + (mirror y) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "5048710b-76ee-44ed-8007-dd151165922a") + (property "Reference" "C1" + (at 35.56 45.7199 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "1u" + (at 35.56 48.2599 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" + (at 38.4048 50.8 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 39.37 46.99 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 39.37 46.99 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "a54c289b-7641-426b-be3d-705082181beb") + ) + (pin "1" + (uuid "7783eb26-b892-43fb-a095-b295f0cd2304") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "C1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Regulator_Linear:AP2127K-1.2") + (at 54.61 43.18 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "5a3a41d7-fed7-4738-8bc6-17e0ee34040a") + (property "Reference" "U2" + (at 54.61 34.29 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "AP2127K-1.2" + (at 54.61 36.83 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" + (at 54.61 34.925 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AP2127.pdf" + (at 54.61 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "300mA low dropout linear regulator, shutdown pin, 2.5V-6V input voltage, 1.2V fixed positive output, SOT-23-5" + (at 54.61 43.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "7c24b105-aa53-4532-862c-2a0a96bf26f9") + ) + (pin "5" + (uuid "a41ef6db-b3a4-4fac-913a-ffde496d4e33") + ) + (pin "2" + (uuid "5cd8f919-2081-497c-be50-e45aeacc67a5") + ) + (pin "4" + (uuid "9abfa04c-3fd6-4863-a02a-261dc94cf1c3") + ) + (pin "3" + (uuid "0c244ce7-5ff7-47f7-9a7d-307f2b9f52bc") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "U2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 105.41 38.1 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6abbef44-5e6d-45bd-aaf7-abfc3a9df086") + (property "Reference" "#PWR012" + (at 105.41 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 105.41 33.02 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 105.41 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 105.41 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 105.41 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "89d5b911-185d-4a02-bee0-949ea38396cf") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "#PWR012") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+1V2") + (at 130.81 35.56 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6f8031f5-cf39-4950-b790-6b85db815111") + (property "Reference" "#PWR015" + (at 130.81 39.37 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+1V2" + (at 130.81 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 130.81 35.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 130.81 35.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+1V2\"" + (at 130.81 35.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "536e9273-c878-4bc1-a00f-f1870af16e7f") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "#PWR015") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 161.29 58.42 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "87d0c42e-d324-47e3-9ce6-141e8219fd4c") + (property "Reference" "#PWR019" + (at 161.29 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 161.29 63.5 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 161.29 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 161.29 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 161.29 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b8321ac5-8946-40c5-bb01-bb4fb09c096f") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "#PWR019") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 125.73 52.07 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "9592dac3-926a-4ae8-ae41-bc6b35785153") + (property "Reference" "C9" + (at 125.984 49.784 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100n" + (at 125.984 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 126.6952 55.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 125.73 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 125.73 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "dba5315b-6030-4fcd-8e00-66028003724c") + ) + (pin "1" + (uuid "cb42e60e-cfea-4310-bb78-93e0a30653d7") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "C9") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "FPGA_Lattice:ICE40UP5K-SG48ITR") + (at 148.59 43.18 90) + (unit 4) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "96830cde-b4fe-4c04-8add-8f6668aa391a") + (property "Reference" "U1" + (at 148.59 33.02 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "ICE40UP5K-SG48ITR" + (at 148.59 35.56 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" + (at 182.88 43.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.latticesemi.com/Products/FPGAandCPLD/iCE40Ultra" + (at 123.19 53.34 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "iCE40 UltraPlus FPGA, 5280 LUTs, 1.2V, 48-pin QFN" + (at 148.59 43.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "30" + (uuid "46dbe86e-9059-4b5c-a494-ebcb5be8bf87") + ) + (pin "5" + (uuid "82b2c9ac-04f5-4425-aa2e-b3b757034266") + ) + (pin "29" + (uuid "ab963b09-ac8f-4c01-84ab-7e5967b3b156") + ) + (pin "49" + (uuid "937a483b-8029-46b0-915b-1cb5774734cf") + ) + (pin "24" + (uuid "0a963933-538b-4a94-888a-20723a16ff1d") + ) + (pin "7" + (uuid "f8d29acd-be8e-48d7-a34c-3cfa7d8a9388") + ) + (pin "47" + (uuid "37111af6-4e14-4922-bf5a-a36bcdc30879") + ) + (pin "23" + (uuid "ff273b98-5ed4-42df-9852-b08c13f674d9") + ) + (pin "12" + (uuid "4580d763-ff53-44a5-abd2-5838fb3c1884") + ) + (pin "8" + (uuid "be331f5c-7128-494a-8fe6-009e3a505310") + ) + (pin "13" + (uuid "5443cc48-6fef-4c4e-940c-cf5f672fe483") + ) + (pin "16" + (uuid "b4255e7f-cfbc-49b1-8f79-a556e6ba564a") + ) + (pin "15" + (uuid "cb33552b-d7c2-46a2-a579-1ffa926b9139") + ) + (pin "46" + (uuid "c24ca549-dc51-4fd7-8e37-de6bb76da7d1") + ) + (pin "44" + (uuid "c79ed19e-dbca-41a4-93a3-e17bf54340b8") + ) + (pin "22" + (uuid "a3697001-b477-42d9-97bc-f8b43a48ecbb") + ) + (pin "31" + (uuid "542a11c0-e9b8-4dbc-b23d-a63b52ca1962") + ) + (pin "40" + (uuid "20772937-7d2e-455c-aa1d-19255cd7dd21") + ) + (pin "26" + (uuid "0feff625-6edf-4d42-a945-0704b2deb28f") + ) + (pin "9" + (uuid "d47047f4-4521-46ac-8e4c-c293c81441d3") + ) + (pin "34" + (uuid "767b4676-cf40-4d9b-ab65-66b3d03f9e9c") + ) + (pin "25" + (uuid "e69f7420-b5e5-4869-9b1f-b905cb78b1d7") + ) + (pin "3" + (uuid "37522307-8b3f-435a-b0c4-cfaf2f1af66e") + ) + (pin "39" + (uuid "ff2b47e4-a6c9-4410-8c22-e2b616ed9dd1") + ) + (pin "37" + (uuid "310f9381-91e4-47c8-93b2-59505b14b1ba") + ) + (pin "19" + (uuid "6ce6a504-cd61-488f-a5a8-a3a6f80ae75a") + ) + (pin "11" + (uuid "c11c99c3-7ecd-403c-b03d-e54e3e41e481") + ) + (pin "35" + (uuid "4db387da-704a-4099-9dcb-6188cf45b23b") + ) + (pin "36" + (uuid "68de0683-be0f-427c-b13c-0811397b8fd3") + ) + (pin "43" + (uuid "dc673c79-f485-4ad6-9d76-2228bb47b970") + ) + (pin "18" + (uuid "856ace89-dcf1-4974-abe3-15c6adbd4ee1") + ) + (pin "27" + (uuid "f2136b2d-1175-4bc0-ba81-c3483829a83e") + ) + (pin "45" + (uuid "fccc303c-0d0f-4e9a-b674-382d4618d365") + ) + (pin "4" + (uuid "d4a18807-ee52-4d9f-ac9f-7eb8b950951d") + ) + (pin "6" + (uuid "ab24b7c0-c6e1-46c8-a127-b1eb494f0837") + ) + (pin "41" + (uuid "2c56c059-3fa4-4599-bd78-a780c70b63dc") + ) + (pin "42" + (uuid "39ed07a4-faef-4d9b-9cf2-0a48af65dc8b") + ) + (pin "33" + (uuid "404adb93-70d7-42da-b926-d9b98dd07f37") + ) + (pin "38" + (uuid "1de29fd0-03c4-4126-a1a0-6bb3c00ae093") + ) + (pin "1" + (uuid "121f4736-e871-4d91-8a50-f60afa2a75ea") + ) + (pin "20" + (uuid "a40b0e13-7860-46db-aaa6-3c43980e903f") + ) + (pin "17" + (uuid "316fc7ae-5e8a-484a-95a1-4dc9d06b3684") + ) + (pin "21" + (uuid "d8d89713-4f5d-4c3a-b58f-f5996f67989a") + ) + (pin "48" + (uuid "1931c735-5ec8-49d2-a37c-a0f839906e3f") + ) + (pin "10" + (uuid "a5445bb8-fe99-4b1b-a1b4-3c4eef63c0b6") + ) + (pin "2" + (uuid "c6821614-c687-4841-8d4e-c137f5d98b0d") + ) + (pin "28" + (uuid "d320042b-a41d-4a63-8da2-094227e842a6") + ) + (pin "14" + (uuid "fb838d02-3717-4599-a8bc-bb5708db2281") + ) + (pin "32" + (uuid "2a485fc1-699c-42c9-bc35-ed62f4427ca9") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "U1") + (unit 4) + ) + ) + ) + ) + (symbol + (lib_id "Regulator_Linear:AP2127K-3.3") + (at 95.25 43.18 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "986ee8d4-c6c6-4b44-b009-316708cfb9cb") + (property "Reference" "U5" + (at 95.25 34.29 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "AP2127K-3.3" + (at 95.25 36.83 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" + (at 95.25 34.925 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AP2127.pdf" + (at 95.25 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "300mA low dropout linear regulator, shutdown pin, 2.5V-6V input voltage, 3.3V fixed positive output, SOT-23-5" + (at 95.25 43.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "3" + (uuid "45b1c844-94b3-4c83-93ef-a9bb850e5bf7") + ) + (pin "2" + (uuid "c4d547c2-7406-49b1-8871-484f000d7a7b") + ) + (pin "4" + (uuid "4e2157cc-924d-4e3d-8e57-a8724b8653f7") + ) + (pin "5" + (uuid "142ecf6d-b45d-46b3-b841-46287a0bb55a") + ) + (pin "1" + (uuid "c22be8e2-5292-4a44-b202-45ea3b9801bd") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "U5") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 135.89 52.07 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "98d2f958-e6da-431c-9bde-d2322a8ad5ca") + (property "Reference" "C11" + (at 136.652 49.784 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100n" + (at 136.652 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 136.8552 55.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 135.89 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 135.89 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "4011f15b-0d79-486b-aa25-367628dea2a6") + ) + (pin "1" + (uuid "903672be-5a9b-4c19-bad4-1403722a3ad5") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "C11") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 130.81 52.07 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "c106e03f-72e3-43f7-96a1-120e0bbbdfbe") + (property "Reference" "C10" + (at 131.064 49.784 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100n" + (at 131.064 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 131.7752 55.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 130.81 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 130.81 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "19bb8e35-b19f-4831-979e-9f0ae57e9ee9") + ) + (pin "1" + (uuid "6bcc1d92-399c-4eed-add5-82accc635ada") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "C10") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 64.77 46.99 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "d7314fd7-9470-406a-8051-3fd7681f942d") + (property "Reference" "C2" + (at 68.58 45.7199 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "1u" + (at 68.58 48.2599 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" + (at 65.7352 50.8 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 64.77 46.99 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 64.77 46.99 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "a2c2b02d-1ed2-484a-b364-68873c35e47f") + ) + (pin "1" + (uuid "7976e25f-ecbd-42af-b4db-3a1893b3a731") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "C2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+1V2") + (at 64.77 38.1 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "e01fa039-4d39-400b-bfcc-9a55ce9c14d9") + (property "Reference" "#PWR03" + (at 64.77 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+1V2" + (at 64.77 33.02 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 64.77 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 64.77 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+1V2\"" + (at 64.77 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "2c17bbda-eb69-4b93-9585-8159a0f214d5") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "#PWR03") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 105.41 46.99 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "e04aacd5-6485-4179-aa26-4238b0423262") + (property "Reference" "C8" + (at 109.22 45.7199 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "1u" + (at 109.22 48.2599 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" + (at 106.3752 50.8 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 105.41 46.99 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 105.41 46.99 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "bd34f22c-f3fd-43c3-a831-2d015bc4affe") + ) + (pin "1" + (uuid "985f0b2c-7449-4927-bf35-678bde4d4d0c") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "C8") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 135.89 38.1 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "e92ca6a2-c41d-4968-940d-a77de2034000") + (property "Reference" "#PWR04" + (at 135.89 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 135.89 33.02 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 135.89 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 135.89 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 135.89 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "ac8cbc39-fcc0-4916-be9f-afab51fa9f7d") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "#PWR04") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+1V2") + (at 125.73 38.1 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "fb9780b5-ba80-423b-bac4-e7a99f1b519d") + (property "Reference" "#PWR013" + (at 125.73 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+1V2" + (at 125.73 33.02 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 125.73 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 125.73 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+1V2\"" + (at 125.73 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "af0d6d7f-8738-40c0-97da-51c40c55cd34") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "#PWR013") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 125.73 58.42 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "fc3df086-5087-4da3-9ea7-3636f5fce18b") + (property "Reference" "#PWR014" + (at 125.73 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 125.73 63.5 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 125.73 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 125.73 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 125.73 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "d6621ea1-f4e3-48d9-8258-e8ffc6353231") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/ac2900c4-bddc-485c-b46e-ddd13f5b0b97" + (reference "#PWR014") + (unit 1) + ) + ) + ) + ) +) diff --git a/kicad/sym-lib-table b/kicad/sym-lib-table new file mode 100644 index 0000000..993ca18 --- /dev/null +++ b/kicad/sym-lib-table @@ -0,0 +1,3 @@ +(sym_lib_table + (version 7) +) diff --git a/kicad/trashernet_soc.kicad_pcb b/kicad/trashernet_soc.kicad_pcb new file mode 100644 index 0000000..d70b84f --- /dev/null +++ b/kicad/trashernet_soc.kicad_pcb @@ -0,0 +1,20110 @@ +(kicad_pcb + (version 20240108) + (generator "pcbnew") + (generator_version "8.0") + (general + (thickness 1.6) + (legacy_teardrops no) + ) + (paper "A4") + (layers + (0 "F.Cu" signal) + (1 "In1.Cu" signal) + (2 "In2.Cu" signal) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + (50 "User.1" user) + (51 "User.2" user) + (52 "User.3" user) + (53 "User.4" user) + (54 "User.5" user) + (55 "User.6" user) + (56 "User.7" user) + (57 "User.8" user) + (58 "User.9" user) + ) + (setup + (stackup + (layer "F.SilkS" + (type "Top Silk Screen") + ) + (layer "F.Paste" + (type "Top Solder Paste") + ) + (layer "F.Mask" + (type "Top Solder Mask") + (thickness 0.01) + ) + (layer "F.Cu" + (type "copper") + (thickness 0.035) + ) + (layer "dielectric 1" + (type "prepreg") + (thickness 0.1) + (material "FR4") + (epsilon_r 4.5) + (loss_tangent 0.02) + ) + (layer "In1.Cu" + (type "copper") + (thickness 0.035) + ) + (layer "dielectric 2" + (type "core") + (thickness 1.24) + (material "FR4") + (epsilon_r 4.5) + (loss_tangent 0.02) + ) + (layer "In2.Cu" + (type "copper") + (thickness 0.035) + ) + (layer "dielectric 3" + (type "prepreg") + (thickness 0.1) + (material "FR4") + (epsilon_r 4.5) + (loss_tangent 0.02) + ) + (layer "B.Cu" + (type "copper") + (thickness 0.035) + ) + (layer "B.Mask" + (type "Bottom Solder Mask") + (thickness 0.01) + ) + (layer "B.Paste" + (type "Bottom Solder Paste") + ) + (layer "B.SilkS" + (type "Bottom Silk Screen") + ) + (copper_finish "None") + (dielectric_constraints no) + ) + (pad_to_mask_clearance 0) + (allow_soldermask_bridges_in_footprints no) + (pcbplotparams + (layerselection 0x00010fc_ffffffff) + (plot_on_all_layers_selection 0x0000000_00000000) + (disableapertmacros no) + (usegerberextensions no) + (usegerberattributes yes) + (usegerberadvancedattributes yes) + (creategerberjobfile yes) + (dashed_line_dash_ratio 12.000000) + (dashed_line_gap_ratio 3.000000) + (svgprecision 4) + (plotframeref no) + (viasonmask no) + (mode 1) + (useauxorigin no) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (pdf_front_fp_property_popups yes) + (pdf_back_fp_property_popups yes) + (dxfpolygonmode yes) + (dxfimperialunits yes) + (dxfusepcbnewfont yes) + (psnegative no) + (psa4output no) + (plotreference yes) + (plotvalue yes) + (plotfptext yes) + (plotinvisibletext no) + (sketchpadsonfab no) + (subtractmaskfromsilk no) + (outputformat 1) + (mirror no) + (drillshape 1) + (scaleselection 1) + (outputdirectory "") + ) + ) + (net 0 "") + (net 1 "+1V2") + (net 2 "unconnected-(U1A-IOT_43a-Pad32)") + (net 3 "GND") + (net 4 "unconnected-(U1A-RGB0-Pad39)") + (net 5 "+5V") + (net 6 "unconnected-(U2-NC-Pad4)") + (net 7 "+3V3") + (net 8 "unconnected-(U5-NC-Pad4)") + (net 9 "/CF_IO2") + (net 10 "/CF_DO") + (net 11 "/~{CF_CS}") + (net 12 "/CDONE") + (net 13 "/CF_DI") + (net 14 "/CF_CLK") + (net 15 "/CF_IO3") + (net 16 "/~{CRESET}") + (net 17 "/RAM_IO0") + (net 18 "/RAM_IO1") + (net 19 "/RAM_IO2") + (net 20 "/RAM_IO3") + (net 21 "/RAM_SCK") + (net 22 "/~{RAM_CS}") + (net 23 "/RX+") + (net 24 "/VREF") + (net 25 "unconnected-(J2-NC-PadR7)") + (net 26 "/LV_TX-") + (net 27 "unconnected-(J2-TCT-PadR4)") + (net 28 "/RX-") + (net 29 "unconnected-(J2-PadR8)") + (net 30 "unconnected-(J2-PadSH)") + (net 31 "/ETH_LED0") + (net 32 "/LV_RX+") + (net 33 "/LV_RX-") + (net 34 "/LV_TX+") + (net 35 "/ETH_LED1") + (net 36 "unconnected-(U1A-IOT_49a-Pad43)") + (net 37 "Net-(D1-A)") + (net 38 "unconnected-(J2-PadSH)_0") + (net 39 "/PMOD5") + (net 40 "/PMOD3") + (net 41 "/PMOD4") + (net 42 "/PMOD2") + (net 43 "/PMOD0") + (net 44 "/PMOD1") + (net 45 "/PMOD6") + (net 46 "/PMOD7") + (net 47 "/TX-") + (net 48 "unconnected-(X1-Tri-State-Pad1)") + (net 49 "/USB/USB_D+") + (net 50 "unconnected-(J3-Shield-Pad6)") + (net 51 "unconnected-(J3-ID-Pad4)") + (net 52 "unconnected-(J3-Shield-Pad6)_0") + (net 53 "unconnected-(J3-Shield-Pad6)_1") + (net 54 "unconnected-(J3-Shield-Pad6)_2") + (net 55 "/USB/USB_D-") + (net 56 "unconnected-(U4-~{RTS}-Pad16)") + (net 57 "unconnected-(U4-CBUS3-Pad14)") + (net 58 "unconnected-(U4-CBUS2-Pad5)") + (net 59 "unconnected-(U4-~{CTS}-Pad4)") + (net 60 "unconnected-(U4-CBUS1-Pad11)") + (net 61 "unconnected-(U4-CBUS0-Pad12)") + (net 62 "unconnected-(U4-3V3OUT-Pad8)") + (net 63 "/SERIAL_PC_OUT") + (net 64 "/CLK_12M") + (net 65 "/SERIAL_PC_IN") + (net 66 "Net-(J2-PadL3)") + (net 67 "Net-(J2-PadL2)") + (net 68 "/+3V3P") + (net 69 "Net-(D3-A)") + (net 70 "Net-(U1A-IOT_44b)") + (net 71 "VBUS") + (footprint "Inductor_SMD:L_0805_2012Metric" + (layer "F.Cu") + (uuid "0afbe4be-a784-46e9-b3f2-5cfbede43658") + (at 136.906 94.5685 -90) + (descr "Inductor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "inductor") + (property "Reference" "L1" + (at -2.4935 -0.635 90) + (layer "F.SilkS") + (uuid "e3af8d02-46a4-4935-9f75-ed903dfb7d65") + (effects + (font + (size 0.8 0.8) + (thickness 0.13) + ) + ) + ) + (property "Value" "L" + (at 0 1.55 90) + (layer "F.Fab") + (uuid "7ea4fa6a-7583-414a-a825-0ee7d5dd14e0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Inductor_SMD:L_0805_2012Metric" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8383fbea-ee2f-4fbc-824e-233b3a21be2a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f8f8e015-8deb-4002-b3e1-201a68f4acaa") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Inductor" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4ac30996-6c52-4907-af8d-be28bfbf4344") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "Choke_* *Coil* Inductor_* L_*") + (path "/e739f31e-2445-4a64-8d1d-4e880e87ab6f") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.399622 0.56) + (end 0.399622 0.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f4329b53-29da-4d44-a1f7-34273b956a6e") + ) + (fp_line + (start -0.399622 -0.56) + (end 0.399622 -0.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "39d44da1-0a90-4e29-98a9-ed4cccc13589") + ) + (fp_line + (start -1.75 0.85) + (end -1.75 -0.85) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "34439fee-5b15-4ec6-a772-c62a8c35f06c") + ) + (fp_line + (start 1.75 0.85) + (end -1.75 0.85) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "955eeeea-3799-4c2e-8ca2-9d23a944c039") + ) + (fp_line + (start -1.75 -0.85) + (end 1.75 -0.85) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "50bacbe9-276d-415a-95dd-f38d4ee5835c") + ) + (fp_line + (start 1.75 -0.85) + (end 1.75 0.85) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b338c4ba-58ab-4e3c-9957-438a50cdc723") + ) + (fp_line + (start -1 0.45) + (end -1 -0.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "aea6ce80-f12d-478f-9192-e98a8b171a89") + ) + (fp_line + (start 1 0.45) + (end -1 0.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a38a4c54-60bf-4af9-befc-b09867644b79") + ) + (fp_line + (start -1 -0.45) + (end 1 -0.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8e530900-6faf-4e46-8ffb-fed1dc7cb4d2") + ) + (fp_line + (start 1 -0.45) + (end 1 0.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c387320a-189f-4670-9c04-667ad93aa6ec") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "2a2d1add-bb63-4161-be5f-292eb0db3ffd") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0625 0 270) + (size 0.875 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pinfunction "1") + (pintype "passive") + (uuid "257649ca-156e-4751-a6a1-db67fc670394") + ) + (pad "2" smd roundrect + (at 1.0625 0 270) + (size 0.875 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 68 "/+3V3P") + (pinfunction "2") + (pintype "passive") + (uuid "53e304f9-8076-4a18-a254-0492ef56b61e") + ) + (model "${KICAD8_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "18ba94dd-5fd7-48b6-ad47-d0f4924fe124") + (at 145.288 109.601 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C11" + (at 0.127 1.524 90) + (layer "F.SilkS") + (uuid "81f80a2c-d8aa-4bfc-8f01-a180696959ec") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "100n" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "7ecf09ae-f4d0-4bbc-85f9-9e85d016a954") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "68149957-2a95-4dd9-9279-75882fdd4cfd") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "55bebfc4-c793-4112-bddc-fc1a57ce8724") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b648b663-377e-4b05-abcb-64ceea119298") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/ac2900c4-bddc-485c-b46e-ddd13f5b0b97/98d2f958-e6da-431c-9bde-d2322a8ad5ca") + (sheetname "power") + (sheetfile "power.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "77552108-eb13-4cd1-bc38-530829d37aa8") + ) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b04701b7-3575-47fe-915a-fda16d0ab313") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9904f648-deff-41b3-a14a-c907b3ea7465") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cccf642c-5ec6-492e-983d-a161d6b0174a") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b2405edb-1472-4b3c-81fe-b226425e2ac8") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b0d95558-4547-4f0b-9e84-36c062546634") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9d7285b6-5e47-48c9-b307-196db873eabe") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4a4ae153-0b17-436b-a999-84e348ef0979") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ab2bc106-200b-4d7f-b3cf-a836ff14759f") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "02d3385f-f18d-4bf1-a94d-be416465c89f") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "910bf74a-d4df-40a8-b018-a80131fedace") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 270) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pintype "passive") + (uuid "67c4d91a-f008-428a-9ebd-162a89bc165b") + ) + (pad "2" smd roundrect + (at 0.775 0 270) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pintype "passive") + (uuid "91616341-6fce-45e8-831c-7e1e382eb0f3") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "2e5d5bc9-d581-4001-bbe8-fe4f15348fc4") + (at 143.891 90.043 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C6" + (at 0 -1.016 -90) + (layer "F.SilkS") + (uuid "9009fe09-5412-484a-a4f6-93f3907efc75") + (effects + (font + (size 0.8 0.8) + (thickness 0.13) + ) + ) + ) + (property "Value" "100n" + (at 0 1.43 -90) + (layer "F.Fab") + (uuid "42ca884a-d5f2-4fcc-9b66-19662169d058") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "30f6e11d-86e0-40ee-9d67-2b37af48224b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2e4de2a6-e590-4b81-9b6d-94b3c4e5ef2b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0a1730b1-faae-4c27-ba66-4e9a19e6e982") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/a567741c-bfe0-4737-b224-2172f1c1bd58/1b864522-3f1b-4efe-b672-a053bdc067ca") + (sheetname "USB") + (sheetfile "usb.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7237befc-2147-4a68-8aa4-4e5aa484d2e8") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "1a42174b-c18e-4f48-9a9f-1eca8635fbb2") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3bad88f6-a9ef-4901-9633-96ad0a235884") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "83c98cda-c040-406b-b8c4-67ed786b1339") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "31a2d9d2-4e0e-4cb2-af5f-05cffca6e686") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0cb6624a-44f7-45f3-8cf0-b1903ab11416") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5a4ea068-a644-4479-a2f5-a43b514bf74b") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d960cfae-d6dd-4496-a45c-cde3d2259dcd") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b00e1b03-979b-4b7a-a77d-2948babcee96") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7f8b0e5b-e4e1-4698-9643-9b4002968acc") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "1ae31e11-b382-46c2-b3d8-f3278ce82b06") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pintype "passive") + (uuid "27fa2de3-d487-4fe6-b88c-6c1f42d1cafa") + ) + (pad "2" smd roundrect + (at 0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pintype "passive") + (uuid "0681a27b-328a-42a2-8854-8de1f50b65c4") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0603_1608Metric" + (layer "F.Cu") + (uuid "2f167ffa-3598-46e7-875e-83033377b0f9") + (at 143.066 95.377) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Reference" "R4" + (at 3.619 1.27 0) + (layer "F.SilkS") + (hide yes) + (uuid "a4617fe2-b24b-42ca-b05f-f71bdd2e3bd1") + (effects + (font + (size 0.8 0.8) + (thickness 0.13) + ) + ) + ) + (property "Value" "33" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "4da2888f-f437-425b-9c36-968d52dd8a5c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "26f95016-5800-442b-bf7c-a939a7bbda3e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "092a2b9c-9250-40bb-b3a4-3ea133fb9f92") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7c970e88-e96b-4fb0-b2f7-a1c6af71a269") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/444da31b-125a-4fec-bda6-83345e6ff695") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.237258 -0.5225) + (end 0.237258 -0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5d82104b-871e-4167-9c96-6aa71c825361") + ) + (fp_line + (start -0.237258 0.5225) + (end 0.237258 0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e850693a-fd7b-4f5c-b82c-3c45dc64a9c2") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "45934368-294a-4145-bf40-c44751eb0159") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f148c2ed-e399-486d-86b2-5a90bfd9a2a2") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f3e4a08c-578a-4dcc-87a6-d28aecb47ee1") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9ff30ec6-a174-44f7-96cd-6c370c62515c") + ) + (fp_line + (start -0.8 -0.4125) + (end 0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a0983f23-67cf-4667-ba52-7638decd8eda") + ) + (fp_line + (start -0.8 0.4125) + (end -0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b82d2cd4-c0cd-4c25-9654-a33811bb1f2b") + ) + (fp_line + (start 0.8 -0.4125) + (end 0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ec8289a8-4363-4377-9a10-19b7194c5af2") + ) + (fp_line + (start 0.8 0.4125) + (end -0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "939d5ac5-9fd0-4c9e-9304-6d48c7a5d7c8") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "cf2212ec-5d2f-4827-a149-062c8c5ee409") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.825 0) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 33 "/LV_RX-") + (pintype "passive") + (uuid "83984d4b-4cfb-42e0-b025-52259fff83f4") + ) + (pad "2" smd roundrect + (at 0.825 0) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 32 "/LV_RX+") + (pintype "passive") + (uuid "0ef170f2-0e64-4dbc-9313-c2f836526c77") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "2f81dfc8-9956-4109-82fe-923bd0d04f77") + (at 148.905 102.235) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C9" + (at -2.093 0 180) + (layer "F.SilkS") + (hide yes) + (uuid "139fe9b7-4baa-46ad-b6c4-cc656fae9a05") + (effects + (font + (size 0.8 0.8) + (thickness 0.13) + ) + ) + ) + (property "Value" "100n" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "5ea6e556-d29f-4605-9229-be25a4d6084f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "27a68036-6572-4890-82c4-3b2fbe325ed1") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "10ca896f-688a-4bcd-a73d-2614653807c5") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f38ca313-9897-4efc-a5fc-71a3e7de015f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/ac2900c4-bddc-485c-b46e-ddd13f5b0b97/9592dac3-926a-4ae8-ae41-bc6b35785153") + (sheetname "power") + (sheetfile "power.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6518a4c2-fb60-4cd6-b738-280076957856") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "1c1e4b99-0672-47c5-915f-8eac095593b4") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7e494a9a-11b9-4ebd-8316-586ada19edf4") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d8de16b3-6cb2-4f87-bf72-ad9831360abe") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a8ff35ce-0785-4b85-bc3a-49b3eb1efb58") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2205a053-77fd-4b01-bd35-2f9f95c364b7") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8ab32d32-e9b6-45fc-821b-28c38aec4180") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "72770807-e902-4dfe-a09f-f0f81395af7d") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9dce2dc8-92b5-4a36-834f-ca967a56e2d8") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "22450693-5c50-4cff-be73-dfbf01566968") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "e3723919-cc16-42df-a7c0-8f8e6872bfa5") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 1 "+1V2") + (pintype "passive") + (uuid "9106f6dd-6bd7-4e26-8d2c-440c3617fe31") + ) + (pad "2" smd roundrect + (at 0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pintype "passive") + (uuid "a9185974-3efe-406d-bcbe-def4b9df7acd") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "LED_SMD:LED_0603_1608Metric" + (layer "F.Cu") + (uuid "32906eaf-1148-425e-8709-ee8d70b6309b") + (at 150.2156 95.504 90) + (descr "LED SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (property "Reference" "D3" + (at 1.143 5.08 -90) + (layer "F.SilkS") + (hide yes) + (uuid "a3f834ce-d79c-4e46-952b-39cba32b6b03") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "Power" + (at 0 1.43 -90) + (layer "F.Fab") + (uuid "d0ce194d-096c-44e7-aa41-1ccc88a1f65c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "LED_SMD:LED_0603_1608Metric" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "cdba9fc0-1e9d-4fae-9fcd-5f35cc2ea61e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0c2ddc12-85f7-4867-9b1a-6be03c7473ee") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Light emitting diode" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a22a5fc4-b472-42aa-8552-891e7ca53ed3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "LED* LED_SMD:* LED_THT:*") + (path "/0a69fdd6-8e9d-400a-b4a6-3ccdc54cd71b") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start 0.8 -0.735) + (end -1.485 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d3f0d765-b6f6-4941-ab7d-1b5f683f4924") + ) + (fp_line + (start -1.485 -0.735) + (end -1.485 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9d5d1374-99df-4dc7-b38a-028fae09c861") + ) + (fp_line + (start -1.485 0.735) + (end 0.8 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "36240112-597e-4ee0-8f24-e679270d2b93") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2e7639e4-fd2d-4e07-84d8-671cba87d1e0") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "30ec9f6a-bf87-4e43-8b29-80fb4f53355f") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d1e1cc8d-4e9d-4295-bdab-c9bfbdb36237") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4d3a72a4-1180-4a60-8cd4-b52bc3bfc62f") + ) + (fp_line + (start 0.8 -0.4) + (end -0.5 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c3847379-52ee-4266-b4ed-0f421246be68") + ) + (fp_line + (start -0.5 -0.4) + (end -0.8 -0.1) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "009ca22f-ca19-403a-87fb-9d1dc9bd695b") + ) + (fp_line + (start -0.8 -0.1) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b628a7c6-46de-4e36-9860-216a8c86198c") + ) + (fp_line + (start 0.8 0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6013d189-ee02-449e-8a5e-9eba5b7e2759") + ) + (fp_line + (start -0.8 0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "816a40a2-cb96-46a0-bf16-99c5700485af") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "14235395-8feb-4b90-89ed-86a3365285f7") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.7875 0 90) + (size 0.875 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pinfunction "K") + (pintype "passive") + (uuid "4c2fcc63-edd4-4f31-8f8d-539d4a30f42e") + ) + (pad "2" smd roundrect + (at 0.7875 0 90) + (size 0.875 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 69 "Net-(D3-A)") + (pinfunction "A") + (pintype "passive") + (uuid "289f61c5-3a33-43b7-84f8-05b4acae65f7") + ) + (model "${KICAD8_3DMODEL_DIR}/LED_SMD.3dshapes/LED_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0603_1608Metric" + (layer "F.Cu") + (uuid "37e087db-bf47-478d-be59-5746ff23ecb2") + (at 143.891 93.091 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Reference" "R2" + (at 0.127 0 90) + (layer "F.SilkS") + (hide yes) + (uuid "4ae9894d-bc6e-4473-abcc-480f94e9475c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "33" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "730c332c-a932-4d48-8320-66fdef37caf0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2482d547-f691-4e27-9759-3f5e3f563748") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b00b9bac-ee52-4dcc-8a33-2963067562bf") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "def939ce-38ce-4f3a-8c8f-0f0b15379e09") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/67ddcb05-26ff-46fe-aa33-c7a31444353c") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.237258 0.5225) + (end 0.237258 0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "195011ed-fa7c-4bb8-9755-b612b019803c") + ) + (fp_line + (start -0.237258 -0.5225) + (end 0.237258 -0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "50bef297-d079-4916-a61b-43ab7cdc4e4e") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7433da56-3498-41f1-94b3-f2ae4a56ae17") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7ce11dc5-8eb5-4cb2-bcbd-2111e0dbe4a1") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f96092ea-1417-4447-8939-1eca9ace4eca") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c522fdb7-c9bf-428f-adf3-4c4a91b0a271") + ) + (fp_line + (start -0.8 0.4125) + (end -0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "548e244a-2b98-4bb2-a026-16946c55086f") + ) + (fp_line + (start 0.8 0.4125) + (end -0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ef2d963e-6fef-4bca-93d9-6f697905a852") + ) + (fp_line + (start -0.8 -0.4125) + (end 0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d0fef64a-9724-4aa4-8a2b-eac72a7baa68") + ) + (fp_line + (start 0.8 -0.4125) + (end 0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2b4f2d76-01cb-481a-94f3-fec6a98d3a1b") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "f45b3ea8-8888-4530-932e-ad94c04f5318") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.825 0 270) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 23 "/RX+") + (pintype "passive") + (uuid "3a9a87dd-39dc-4709-8742-125804246aed") + ) + (pad "2" smd roundrect + (at 0.825 0 270) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 32 "/LV_RX+") + (pintype "passive") + (uuid "0f28a679-1d14-4231-9b2b-789f3f6afda4") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_TO_SOT_SMD:SOT-23-5" + (layer "F.Cu") + (uuid "3c918b38-af74-44d2-b467-2c96df25931d") + (at 149.159 107.061 90) + (descr "SOT, 5 Pin (https://www.jedec.org/sites/default/files/docs/Mo-178c.PDF variant AA), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOT TO_SOT_SMD") + (property "Reference" "U2" + (at 0 -2.4 -90) + (layer "F.SilkS") + (uuid "e0641ea9-c222-472b-a216-a96e68e2f3ad") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "AP2127K-1.2" + (at 0 2.4 -90) + (layer "F.Fab") + (uuid "262a5ff5-9ceb-4f51-b6fe-5e1697997a0a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f05a368d-0b96-4512-8b06-ecc6af2aeeb5") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AP2127.pdf" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "742d3fc9-9bb5-481a-919e-6baa8aba71b2") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "300mA low dropout linear regulator, shutdown pin, 2.5V-6V input voltage, 1.2V fixed positive output, SOT-23-5" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1bb6287a-9d07-4dfa-8cde-223ec449e6e4") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "SOT?23?5*") + (path "/ac2900c4-bddc-485c-b46e-ddd13f5b0b97/5a3a41d7-fed7-4738-8bc6-17e0ee34040a") + (sheetname "power") + (sheetfile "power.kicad_sch") + (attr smd) + (fp_line + (start 0 -1.56) + (end 0.8 -1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ee58d6c0-ecd8-4a93-a016-7fd51e94afc4") + ) + (fp_line + (start 0 -1.56) + (end -0.8 -1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7776e854-e660-4634-b975-0ef104aa3f80") + ) + (fp_line + (start 0 1.56) + (end 0.8 1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "0e4ea82d-895e-4a01-93f8-88a4e8ec9078") + ) + (fp_line + (start 0 1.56) + (end -0.8 1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9c27a831-6b8e-4a1f-b453-0f78feb2d470") + ) + (fp_poly + (pts + (xy -1.3 -1.51) (xy -1.54 -1.84) (xy -1.06 -1.84) (xy -1.3 -1.51) + ) + (stroke + (width 0.12) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "c1872e99-be70-402a-b722-4c86705b105e") + ) + (fp_line + (start 2.05 -1.7) + (end -2.05 -1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "264fb61d-59ce-4522-9840-540349ea4188") + ) + (fp_line + (start -2.05 -1.7) + (end -2.05 1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "64d04434-4d0f-4a6f-821a-25e8a5f4939a") + ) + (fp_line + (start 2.05 1.7) + (end 2.05 -1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7eb5ace0-2670-4c52-8eaf-57084e6c6f45") + ) + (fp_line + (start -2.05 1.7) + (end 2.05 1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "359d866c-3132-4aa0-afca-a45502711de5") + ) + (fp_line + (start 0.8 -1.45) + (end 0.8 1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4b881eb7-33ab-4e86-bc06-bf85cdff8112") + ) + (fp_line + (start -0.4 -1.45) + (end 0.8 -1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7520a353-38f7-444b-8b65-f7b0d83ea0d6") + ) + (fp_line + (start -0.8 -1.05) + (end -0.4 -1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "412d2902-c816-4d5f-9f48-ac17262dffa0") + ) + (fp_line + (start 0.8 1.45) + (end -0.8 1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e9ebd3e5-ec60-4790-a5e6-b28db51c402a") + ) + (fp_line + (start -0.8 1.45) + (end -0.8 -1.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "68a74c22-109d-4d38-98cc-c6eaa8678676") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "8a7f0fb6-a527-4cd0-8d77-98a5edf85d05") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -1.1375 -0.95 90) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pinfunction "VIN") + (pintype "power_in") + (uuid "3b7ae4b1-f8bb-415e-bb95-1a0665b13dcf") + ) + (pad "2" smd roundrect + (at -1.1375 0 90) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "4384221c-8bb4-42c3-9a73-1f63282da7d4") + ) + (pad "3" smd roundrect + (at -1.1375 0.95 90) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pinfunction "EN") + (pintype "input") + (uuid "9344daa8-f3b7-40c0-81db-ac12683dc074") + ) + (pad "4" smd roundrect + (at 1.1375 0.95 90) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 6 "unconnected-(U2-NC-Pad4)") + (pinfunction "NC") + (pintype "no_connect") + (uuid "bcb17d4a-4490-4d33-96ff-9aaeec1d265a") + ) + (pad "5" smd roundrect + (at 1.1375 -0.95 90) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 1 "+1V2") + (pinfunction "VOUT") + (pintype "power_out") + (uuid "aeb6f8e5-8636-4914-a8cf-0f9d48bbb3e4") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric" + (layer "F.Cu") + (uuid "3d16f6df-bd86-4c5e-a3e8-a46526cdcdbe") + (at 141.036 86.106 180) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C8" + (at -1.077 -1.524 180) + (layer "F.SilkS") + (uuid "5c6a0308-9491-4d1b-910f-3f0df9b98123") + (effects + (font + (size 0.8 0.8) + (thickness 0.13) + ) + ) + ) + (property "Value" "1u" + (at 0 1.68 180) + (layer "F.Fab") + (uuid "1a2fda65-5114-417f-beb5-c1b661cd7d57") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "db33d017-2e49-40f1-8212-2fba113f805f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5a3d6c0c-13da-4254-8370-bf5cbd1f3c43") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "37ac3288-7289-4ea4-9b7c-1b42e9418616") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/ac2900c4-bddc-485c-b46e-ddd13f5b0b97/e04aacd5-6485-4179-aa26-4238b0423262") + (sheetname "power") + (sheetfile "power.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f7c2fe29-20cb-4368-8e3b-db5bc4da8ad8") + ) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "0ac123de-9fcd-4983-96b4-cd4372903fcc") + ) + (fp_line + (start 1.7 0.98) + (end -1.7 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a00593bc-1925-402a-a438-6bc2df528391") + ) + (fp_line + (start 1.7 -0.98) + (end 1.7 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9c7a33a4-f454-4906-8887-db5955349003") + ) + (fp_line + (start -1.7 0.98) + (end -1.7 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1b600025-0ef3-4906-b7ad-c0bbf44eab49") + ) + (fp_line + (start -1.7 -0.98) + (end 1.7 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "17dc648c-39a1-4064-932d-17563cd40260") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "778dc589-8653-4957-9ea5-a2e4bdb2f655") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f2a2c484-59ea-4fbd-982c-18671a64b583") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "749114be-3b7d-4857-83b9-79f599304ed4") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4989cd1d-c447-48c5-9081-b251eaf59243") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "627eb794-a1d9-4aec-afd2-3b97eef990c1") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -0.95 0 180) + (size 1 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pintype "passive") + (uuid "523ee8cb-3492-46b6-8639-60d365115f98") + ) + (pad "2" smd roundrect + (at 0.95 0 180) + (size 1 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pintype "passive") + (uuid "799cd838-49cf-4035-b0b4-aaa0495e3798") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "3da5f8ca-2afd-41a8-bad2-347072042f47") + (at 148.905 100.711 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C16" + (at 2.22 0 180) + (layer "F.SilkS") + (hide yes) + (uuid "9acb9989-b5d4-4c0d-acc8-72e3414730a1") + (effects + (font + (size 0.8 0.8) + (thickness 0.13) + ) + ) + ) + (property "Value" "100n" + (at 0 1.43 180) + (layer "F.Fab") + (uuid "3e3c9a93-21a9-4aa7-a026-3db59a524f3d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3a22d9b6-f929-451a-acb6-79e961eb0b21") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8167518d-cd08-4dba-b731-8dba8a1779ae") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c8d9d643-4f20-4e85-be2f-68037e8f25c0") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/6ba92f36-4509-49bc-a0f4-13d50fae2b55") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8ad38f24-3106-4e3c-b0c9-9e62dc97af6e") + ) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3c8a4c5b-340a-4da8-9d34-bd96722b2e50") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d3e29370-36f2-45d9-b546-d4369c132ea1") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2c70c4a9-3f8a-400b-8a76-d3ea47d107a4") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4c06b400-0cc1-4809-917c-c672a2a2bd5b") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c6c89517-2e06-493e-b407-0589a7f36330") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4a0d1d7b-5c98-48e3-872a-c73e77d4a6a1") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "87226ba9-d1e8-4c9a-bde5-7ab77d8f9eba") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ac666522-4d44-4ebb-bc22-728be7d8395f") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "22cf504c-27b5-4b98-8ceb-2fc59d7e537b") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "c538192d-538f-4ba5-810c-ff27462f4c92") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 180) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pintype "passive") + (uuid "46f74d7a-b9f2-4ed6-8d69-3b157d82bfb5") + ) + (pad "2" smd roundrect + (at 0.775 0 180) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pintype "passive") + (uuid "90b92b4c-38e4-4f61-bdc3-38a4573ec0b4") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0603_1608Metric" + (layer "F.Cu") + (uuid "452bdd40-ccb8-4962-9cb6-e36e0972a7e0") + (at 137.033 86.614 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Reference" "R7" + (at -1.143 2.286 90) + (layer "F.SilkS") + (uuid "6216d699-68cd-4dd4-8095-68b5d5c124af") + (effects + (font + (size 0.8 0.8) + (thickness 0.13) + ) + ) + ) + (property "Value" "1k" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "752877f8-5ccf-4a84-a1e8-eb37a06458b5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "136bbae8-567b-4362-aa63-260b4e1a1c5d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "88be69ba-66e4-4c67-a516-f0c09689cf20") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "965d55bd-3330-4712-95b5-5ea2671b7661") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/c96f8a2d-46d3-4b06-bc17-b92fea96c2a2") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.237258 0.5225) + (end 0.237258 0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d1187d70-7849-4fb3-8ed1-c473e9fc4879") + ) + (fp_line + (start -0.237258 -0.5225) + (end 0.237258 -0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c0233bf4-6c4d-42e7-ad43-d8c61a22af03") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9ecb0395-bc59-4507-ace4-9366398475da") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a6c912b7-4b1b-4cbd-916c-1674ad97ec34") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "63b426a0-309b-4a7f-8ac9-180b76219b2f") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d37dcb8b-740e-47e2-a6f6-0af126147ef9") + ) + (fp_line + (start -0.8 0.4125) + (end -0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5cc07ab2-24af-4b96-81b1-1c8559352cfd") + ) + (fp_line + (start 0.8 0.4125) + (end -0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c8f99b1c-2905-4f56-b91c-eab5e24e36ea") + ) + (fp_line + (start -0.8 -0.4125) + (end 0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3d14bbd6-f7b5-46ca-87b2-77d833c06a35") + ) + (fp_line + (start 0.8 -0.4125) + (end 0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fa97335e-27a5-41c9-a2d6-e6cbd8f4db96") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "870f284f-4c9e-4b38-9293-5a211444b004") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.825 0 270) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 67 "Net-(J2-PadL2)") + (pintype "passive") + (uuid "9be9ab24-987c-4947-94a7-208894c84142") + ) + (pad "2" smd roundrect + (at 0.825 0 270) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 31 "/ETH_LED0") + (pintype "passive") + (uuid "4b2d3348-bc90-4d8b-b8f3-e3759f3ae4e0") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0603_1608Metric" + (layer "F.Cu") + (uuid "4ab48c4e-7fbd-4537-b9fe-0cab7fae242d") + (at 138.748 89.9282 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Reference" "R10" + (at 0 -1.207 -90) + (layer "F.SilkS") + (uuid "43bf210f-b467-4aa8-af65-72f536880f22") + (effects + (font + (size 0.8 0.8) + (thickness 0.13) + ) + ) + ) + (property "Value" "1k" + (at 0 1.43 -90) + (layer "F.Fab") + (uuid "e6244ce6-f04e-46f9-94c1-5896d57b86d3") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "38160d2d-3e57-47ad-9c54-2c4a3a064a65") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "02524777-e1b3-41ec-816a-7a42836e0e5d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "49be16ea-a66e-4aa9-b7d4-6176d2c9de00") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/a06893f9-9e91-4991-8f1a-bdc1bbaef41f") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.237258 -0.5225) + (end 0.237258 -0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "bbfdca6f-589c-438b-ab35-8f1c3dc08644") + ) + (fp_line + (start -0.237258 0.5225) + (end 0.237258 0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "52db2afd-6260-4d05-9827-114d438a9844") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4728f30e-1777-4ac2-a8d2-0d4492215f73") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f12c748c-ba4c-4749-a63c-76e8d1aea501") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "56db4bf2-857f-4ae9-ae2a-df9e33fb55ba") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b7d0abb4-5cce-4072-8cea-905da2acf82e") + ) + (fp_line + (start 0.8 -0.4125) + (end 0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b0e079c0-8971-46ad-a7c5-60023ca0fdc6") + ) + (fp_line + (start -0.8 -0.4125) + (end 0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "702c5ff7-66ff-44e7-ae1d-b199f031bdb3") + ) + (fp_line + (start 0.8 0.4125) + (end -0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5b3c7b26-0157-457d-9dc5-c13cd86c1d81") + ) + (fp_line + (start -0.8 0.4125) + (end -0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1cf59f6a-dc3e-47d1-beeb-9c8fb0e0c1dc") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "d74a5404-d4ec-4f43-ac8a-82ba87310d79") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.825 0 90) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pintype "passive") + (uuid "a51398c3-8d82-4d39-a1a5-df43a215c0a9") + ) + (pad "2" smd roundrect + (at 0.825 0 90) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 24 "/VREF") + (pintype "passive") + (uuid "7f395a59-c70b-49af-90a0-3c80e580fa86") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0603_1608Metric" + (layer "F.Cu") + (uuid "4d3f3776-b12c-448b-b7d7-ab38f7b1565b") + (at 148.717 95.5172 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Reference" "R13" + (at 0 -1.43 90) + (layer "F.SilkS") + (hide yes) + (uuid "de5c3404-e288-44c6-9837-8f3de950eb28") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1k" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "87f153a4-d9cc-4411-a357-b0278b16dae1") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5d70c7f2-4334-474a-bd35-306834dc887a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "36239b89-1c24-4a47-b215-a4626f74fa18") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b54f3651-59ae-4361-8a79-9f243fd8f60b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/63958989-5611-41cd-b270-e527b4ad55ae") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.237258 0.5225) + (end 0.237258 0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "894ff89d-24c7-45b3-a11d-af9d2de69653") + ) + (fp_line + (start -0.237258 -0.5225) + (end 0.237258 -0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e47b2b1e-c790-4cab-9375-8bc64f7b9b55") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c334e104-875b-4794-802d-845258deb0c0") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b3173db5-f202-44ad-8ebf-190188926437") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a5881c9f-1e5f-4c29-82b6-4f1a4a387b52") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7423a561-b8d2-468a-ab40-b01f89b1e32f") + ) + (fp_line + (start -0.8 0.4125) + (end -0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b9756fe9-d255-4375-94c2-e6d2b0c14631") + ) + (fp_line + (start 0.8 0.4125) + (end -0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9efae0cb-b003-4f35-a48c-ba27293565f0") + ) + (fp_line + (start -0.8 -0.4125) + (end 0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d0b579f4-5e60-4874-b5f6-4c320ef78bc2") + ) + (fp_line + (start 0.8 -0.4125) + (end 0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6f9f2880-cd80-4e48-94d5-dcda357b852d") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "209d9f36-b401-4e08-9551-333af2b60cf1") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.825 0 270) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 69 "Net-(D3-A)") + (pintype "passive") + (uuid "9cbea23b-f625-4d8b-a2d1-20059a6136d9") + ) + (pad "2" smd roundrect + (at 0.825 0 270) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pintype "passive") + (uuid "5c0591e4-bc87-4508-af31-8352c4dcb303") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_PinSocket_2.54mm:PinSocket_2x06_P2.54mm_Horizontal" + (layer "F.Cu") + (uuid "4debf814-c264-4cd9-904c-3bdc5517dd96") + (at 135.255 113.03 90) + (descr "Through hole angled socket strip, 2x06, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated") + (tags "Through hole angled socket strip THT 2x06 2.54mm double row") + (property "Reference" "J1" + (at -5.715 -2.286 -90) + (layer "F.SilkS") + (hide yes) + (uuid "e308e4cb-1636-4c22-9707-a8e648b68919") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "PMOD" + (at -5.65 15.47 -90) + (layer "F.Fab") + (uuid "19a38521-7785-40d4-8990-21fa617342c8") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_2x06_P2.54mm_Horizontal" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "51ebcad8-7d4f-4540-af55-94443a461418") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "56d95e31-58b7-498f-bdc4-d74220fc23e0") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Generic connector, double row, 02x06, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "08516315-030b-4df0-ba22-d2678c16c708") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "Connector*:*_2x??_*") + (path "/0928e551-3785-4da3-9ec2-9ee43272146d") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr through_hole) + (fp_line + (start 1.11 -1.33) + (end 1.11 0) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "38005005-6828-45f0-9e87-3bd8e291264d") + ) + (fp_line + (start 0 -1.33) + (end 1.11 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ede2b626-0245-4028-bbb1-c1de4444ae02") + ) + (fp_line + (start -4 -1.33) + (end -4 14.03) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7b0c1943-4dfc-427a-8de6-8e295e99ae77") + ) + (fp_line + (start -12.63 -1.33) + (end -4 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "11e6269c-2387-4335-bbd1-0c569e49be21") + ) + (fp_line + (start -12.63 -1.33) + (end -12.63 14.03) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "517376ec-db63-4ee7-bc52-982918058560") + ) + (fp_line + (start -12.63 -1.21) + (end -4 -1.21) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "854034e0-cd85-4c79-9933-1d282c22fe6b") + ) + (fp_line + (start -12.63 -1.091905) + (end -4 -1.091905) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2125f7f2-0d8f-4c5e-b55f-2f8eab48091d") + ) + (fp_line + (start -12.63 -0.97381) + (end -4 -0.97381) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d8014ded-7525-4625-9587-306c81177cec") + ) + (fp_line + (start -12.63 -0.855715) + (end -4 -0.855715) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ef1ac83a-b9f9-4605-8c9a-191506fe29db") + ) + (fp_line + (start -12.63 -0.73762) + (end -4 -0.73762) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5554dd18-5e72-4677-8826-815230f6be8f") + ) + (fp_line + (start -12.63 -0.619525) + (end -4 -0.619525) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c6941ab0-0cea-46b8-a556-ca54baa40cc7") + ) + (fp_line + (start -12.63 -0.50143) + (end -4 -0.50143) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c3a63f6e-3b5a-4d6e-869f-fe05409d5f68") + ) + (fp_line + (start -12.63 -0.383335) + (end -4 -0.383335) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "614256c5-2acd-4a13-baf1-db85a7851ad3") + ) + (fp_line + (start -1.49 -0.36) + (end -1.11 -0.36) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8843301f-31ad-4691-9030-bda592238b0a") + ) + (fp_line + (start -4 -0.36) + (end -3.59 -0.36) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "510b2722-f74d-49ac-998c-fbb58cd652d8") + ) + (fp_line + (start -12.63 -0.26524) + (end -4 -0.26524) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f5fd5feb-9aa0-4789-9404-67663e021a36") + ) + (fp_line + (start -12.63 -0.147145) + (end -4 -0.147145) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e23c03df-c4b6-4083-a401-2da655d01ea7") + ) + (fp_line + (start -12.63 -0.02905) + (end -4 -0.02905) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6391c450-7292-4ccd-ae5b-697840eb8e41") + ) + (fp_line + (start -12.63 0.089045) + (end -4 0.089045) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "1dc9f56d-24b1-4c58-8878-8c447340a221") + ) + (fp_line + (start -12.63 0.20714) + (end -4 0.20714) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "907adac8-4d78-4c5c-83ce-94c36f0f690b") + ) + (fp_line + (start -12.63 0.325235) + (end -4 0.325235) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "1c26f913-9a88-4c28-aeee-d852402ffc91") + ) + (fp_line + (start -1.49 0.36) + (end -1.11 0.36) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "690b82cc-c3ee-4537-ac16-ebfb9bace23b") + ) + (fp_line + (start -4 0.36) + (end -3.59 0.36) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "60f2290c-c64a-4bfc-b9d5-82bca114f0a1") + ) + (fp_line + (start -12.63 0.44333) + (end -4 0.44333) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "423c72ff-211b-4518-b78e-de50dc71b11e") + ) + (fp_line + (start -12.63 0.561425) + (end -4 0.561425) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ed5f9096-47f3-4c28-99f1-b715db28dc0d") + ) + (fp_line + (start -12.63 0.67952) + (end -4 0.67952) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "301a605e-c536-4627-ba1a-5d913fcd703a") + ) + (fp_line + (start -12.63 0.797615) + (end -4 0.797615) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5a04e278-a7b6-48fe-b773-5b066e542fbc") + ) + (fp_line + (start -12.63 0.91571) + (end -4 0.91571) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4b19e6de-f874-4667-8ec8-5d9bf83e3443") + ) + (fp_line + (start -12.63 1.033805) + (end -4 1.033805) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9a220606-a237-45c3-aec9-4174a16c704f") + ) + (fp_line + (start -12.63 1.1519) + (end -4 1.1519) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5bf3f422-4d18-4977-a408-5a8c3ba3e66d") + ) + (fp_line + (start -12.63 1.27) + (end -4 1.27) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6415ad4e-276d-4881-b4bc-ee90d58c20b5") + ) + (fp_line + (start -1.49 2.18) + (end -1.05 2.18) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5cba5e29-2c1e-40e1-9bfe-dd1e7c35b247") + ) + (fp_line + (start -4 2.18) + (end -3.59 2.18) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d21955f5-4241-45fe-b614-f3f6d2fe3948") + ) + (fp_line + (start -1.49 2.9) + (end -1.05 2.9) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e735fffb-f2c4-4d85-bd4b-f0fcdb9033b9") + ) + (fp_line + (start -4 2.9) + (end -3.59 2.9) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5b775d83-303f-4d59-872c-e08ccb5e844a") + ) + (fp_line + (start -12.63 3.81) + (end -4 3.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ed8f404e-633a-48b5-9530-045141863f8a") + ) + (fp_line + (start -1.49 4.72) + (end -1.05 4.72) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2da55c5d-752c-4a92-a604-a8c71ceb858b") + ) + (fp_line + (start -4 4.72) + (end -3.59 4.72) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "773c5888-824d-475c-a662-538f9fb88041") + ) + (fp_line + (start -1.49 5.44) + (end -1.05 5.44) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "535d483f-f9d0-4232-b41a-ea84385d95e3") + ) + (fp_line + (start -4 5.44) + (end -3.59 5.44) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "64357cfe-12fe-4489-a370-38828452cd6f") + ) + (fp_line + (start -12.63 6.35) + (end -4 6.35) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3adf8e16-9bff-4809-aa82-a6075f5d01b1") + ) + (fp_line + (start -1.49 7.26) + (end -1.05 7.26) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "fb65f1bf-6777-4dd8-a262-412abcd74bd1") + ) + (fp_line + (start -4 7.26) + (end -3.59 7.26) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2b92ac1d-6d4b-4527-860c-1ac46f37634e") + ) + (fp_line + (start -1.49 7.98) + (end -1.05 7.98) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5592a445-e4ef-4de2-80ac-82b623270b10") + ) + (fp_line + (start -4 7.98) + (end -3.59 7.98) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b971205c-682e-4635-9926-90644fef556a") + ) + (fp_line + (start -12.63 8.89) + (end -4 8.89) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f3d9abeb-4100-4cae-a221-3d632716eb3a") + ) + (fp_line + (start -1.49 9.8) + (end -1.05 9.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "92aa3907-0e1a-4207-aa66-f98602852eae") + ) + (fp_line + (start -4 9.8) + (end -3.59 9.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "82acba33-ce97-40b4-b405-b0dd734bae04") + ) + (fp_line + (start -1.49 10.52) + (end -1.05 10.52) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "02169947-25e6-4152-9574-48b4464d2551") + ) + (fp_line + (start -4 10.52) + (end -3.59 10.52) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "fd4e69c2-a3f2-4d24-91fb-608ab03d68ac") + ) + (fp_line + (start -12.63 11.43) + (end -4 11.43) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "fb44d349-b714-4621-9004-c8c8c2fc7825") + ) + (fp_line + (start -1.49 12.34) + (end -1.05 12.34) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "41a627b6-83a5-4963-83fd-8ee92149e086") + ) + (fp_line + (start -4 12.34) + (end -3.59 12.34) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5deea495-f269-4d18-a2d2-1d60726e12ba") + ) + (fp_line + (start -1.49 13.06) + (end -1.05 13.06) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "015356ac-be48-43c4-9080-a4cb99e4039b") + ) + (fp_line + (start -4 13.06) + (end -3.59 13.06) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b757429b-03e0-418b-b1fc-18a7d496b169") + ) + (fp_line + (start -12.63 14.03) + (end -4 14.03) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "bf88775e-1c4e-450b-b022-ec1c6f6f3dfd") + ) + (fp_line + (start 1.8 -1.8) + (end -13.05 -1.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c5701a4b-c219-4753-881a-bfb095c3d3c8") + ) + (fp_line + (start -13.05 -1.8) + (end -13.05 14.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "854c9b23-000f-4cca-ad4b-38fb5f3f2d7e") + ) + (fp_line + (start 1.8 14.45) + (end 1.8 -1.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0ce021a0-8c97-4801-a398-9c26ecaf1691") + ) + (fp_line + (start -13.05 14.45) + (end 1.8 14.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4a1ef04a-ec04-43c1-b0bc-ff36c9c70df7") + ) + (fp_line + (start -5.03 -1.27) + (end -4.06 -0.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "abafa713-c3ad-4a92-962c-9749ea77e191") + ) + (fp_line + (start -12.57 -1.27) + (end -5.03 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "498ccf73-1e60-4212-aec8-1d5c11cddf90") + ) + (fp_line + (start 0 -0.3) + (end -4.06 -0.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "953f88e4-2550-477d-b2f4-704a3f9f4f47") + ) + (fp_line + (start -4.06 -0.3) + (end -4.06 13.97) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8013684f-be9b-4b87-ad78-222e94c4e690") + ) + (fp_line + (start 0 0.3) + (end 0 -0.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fbeefd9d-3c93-4ed5-ab95-457c274bd5fa") + ) + (fp_line + (start -4.06 0.3) + (end 0 0.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f5675303-705b-469b-95df-8bf6c77e36b0") + ) + (fp_line + (start 0 2.24) + (end -4.06 2.24) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e03f4dc5-8562-4128-9c5d-52f94858db7e") + ) + (fp_line + (start 0 2.84) + (end 0 2.24) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d6c3f3e8-27e6-4e80-b82b-4cfb09cccdda") + ) + (fp_line + (start -4.06 2.84) + (end 0 2.84) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "39873d6e-0090-4069-84fa-c3501d80bdfd") + ) + (fp_line + (start 0 4.78) + (end -4.06 4.78) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3e82d1a6-81eb-47bf-8697-4b71e6e78a3d") + ) + (fp_line + (start 0 5.38) + (end 0 4.78) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5f90477e-a4bf-4bfc-a330-7ad7109c469b") + ) + (fp_line + (start -4.06 5.38) + (end 0 5.38) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "569d025c-bb83-430f-a92d-6c8aa836c305") + ) + (fp_line + (start 0 7.32) + (end -4.06 7.32) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4cf6c968-2af3-4c9e-bf96-f4c1bc059d59") + ) + (fp_line + (start 0 7.92) + (end 0 7.32) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "32cb73e1-2570-4d35-93ca-ab66e96dac00") + ) + (fp_line + (start -4.06 7.92) + (end 0 7.92) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e1b3c373-693f-4ee2-bbee-9680a7ab5a01") + ) + (fp_line + (start 0 9.86) + (end -4.06 9.86) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "58f3fe6c-463b-487b-b2e3-8007b7046028") + ) + (fp_line + (start 0 10.46) + (end 0 9.86) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "bf94c4a4-9801-4f21-9dcd-fff4bfdce708") + ) + (fp_line + (start -4.06 10.46) + (end 0 10.46) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "75fface7-c4bb-4311-8387-1169a091800c") + ) + (fp_line + (start 0 12.4) + (end -4.06 12.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a026cb5d-e244-4de7-ab01-0a108ad2d068") + ) + (fp_line + (start 0 13) + (end 0 12.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "591e8358-c185-4018-91d0-478519f17698") + ) + (fp_line + (start -4.06 13) + (end 0 13) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "468eee70-ac61-47bc-8a23-143876312fde") + ) + (fp_line + (start -4.06 13.97) + (end -12.57 13.97) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "031e9c83-ba20-49f9-b391-3972b5f1972d") + ) + (fp_line + (start -12.57 13.97) + (end -12.57 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4ac7430f-bcc9-48f6-9a8b-1e3a9da09d21") + ) + (fp_text user "${REFERENCE}" + (at -8.315 6.35 0) + (layer "F.Fab") + (uuid "709e025c-855e-4343-bd83-8d920ff8cc49") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0 90) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 7 "+3V3") + (pinfunction "Pin_1") + (pintype "passive") + (uuid "63800706-de69-4da3-953f-898830a1e6ca") + ) + (pad "2" thru_hole oval + (at -2.54 0 90) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 7 "+3V3") + (pinfunction "Pin_2") + (pintype "passive") + (uuid "1c46cc9a-fb56-42e5-94d7-b50d927c4bdd") + ) + (pad "3" thru_hole oval + (at 0 2.54 90) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 3 "GND") + (pinfunction "Pin_3") + (pintype "passive") + (uuid "4bfa50b7-4169-4d6d-b5c6-18b41fe32f26") + ) + (pad "4" thru_hole oval + (at -2.54 2.54 90) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 3 "GND") + (pinfunction "Pin_4") + (pintype "passive") + (uuid "5fddaaa8-c7a4-4578-9890-4b8277291972") + ) + (pad "5" thru_hole oval + (at 0 5.08 90) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 43 "/PMOD0") + (pinfunction "Pin_5") + (pintype "passive") + (uuid "61b0a807-e843-439c-8c22-ac663fd375fe") + ) + (pad "6" thru_hole oval + (at -2.54 5.08 90) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 44 "/PMOD1") + (pinfunction "Pin_6") + (pintype "passive") + (uuid "9fc784d0-e806-4cc9-8da7-4080e675bb70") + ) + (pad "7" thru_hole oval + (at 0 7.62 90) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 42 "/PMOD2") + (pinfunction "Pin_7") + (pintype "passive") + (uuid "53aac732-27c4-464d-8dab-b331c734ed85") + ) + (pad "8" thru_hole oval + (at -2.54 7.62 90) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 40 "/PMOD3") + (pinfunction "Pin_8") + (pintype "passive") + (uuid "2d375d69-35d1-4775-9e2d-99091699b5b5") + ) + (pad "9" thru_hole oval + (at 0 10.16 90) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 41 "/PMOD4") + (pinfunction "Pin_9") + (pintype "passive") + (uuid "2e8468d6-d1a1-4f7d-9cd2-5b8046447359") + ) + (pad "10" thru_hole oval + (at -2.54 10.16 90) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 39 "/PMOD5") + (pinfunction "Pin_10") + (pintype "passive") + (uuid "12988a63-8409-4b49-8d36-a1b7278dd7ba") + ) + (pad "11" thru_hole oval + (at 0 12.7 90) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 45 "/PMOD6") + (pinfunction "Pin_11") + (pintype "passive") + (uuid "ebc2d23a-55a1-44ae-bbef-449a1c786dde") + ) + (pad "12" thru_hole oval + (at -2.54 12.7 90) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 46 "/PMOD7") + (pinfunction "Pin_12") + (pintype "passive") + (uuid "a2e6b979-7926-4ce1-b2a6-781e230ff20d") + ) + (model "${KICAD8_3DMODEL_DIR}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_2x06_P2.54mm_Horizontal.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Oscillator:Oscillator_SMD_Abracon_ASCO-4Pin_1.6x1.2mm" + (layer "F.Cu") + (uuid "4ff75b2c-c5b4-484a-9ad5-658b930f2120") + (at 147.066 98.806 180) + (descr "Miniature Crystal Clock Oscillator Abracon ASCO series, https://abracon.com/Oscillators/ASCO.pdf, 1.6x1.2mm^2 package") + (tags "SMD SMT crystal oscillator") + (property "Reference" "X1" + (at 0 1.651 180) + (layer "F.SilkS") + (uuid "6bf0f77b-693f-4df0-a405-b31b41012179") + (effects + (font + (size 0.8 0.8) + (thickness 0.15) + ) + ) + ) + (property "Value" "12MHz" + (at 0 1.95 180) + (layer "F.Fab") + (uuid "620f72b8-7deb-4dc7-97f4-de113aee3ff7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Oscillator:Oscillator_SMD_Abracon_ASCO-4Pin_1.6x1.2mm" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "71d4c1df-a0b2-4d45-8bdb-f4a12f473853") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://abracon.com/Oscillators/ASCO.pdf" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "fdc5a208-3a30-47f7-9b16-9341132d1f16") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Crystal Clock Oscillator, Abracon ASCO" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "334ef158-1ff8-4ea7-a3b5-b399afa577f6") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "Oscillator*Abracon*ASCO*1.6x1.2mm*") + (path "/fcb639c7-2ae4-493a-aafa-e5cbcb33c52a") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start 0.65 -1) + (end -0.8 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "74f570cd-6316-4dee-8b48-e95b35d012fd") + ) + (fp_line + (start -0.8 -1) + (end -0.8 0.85) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3ede91a9-4c93-4912-abf0-fe758cd3c46e") + ) + (fp_line + (start 0.9 1.1) + (end 0.9 -1.1) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "02968fbc-e7f6-4b4f-8422-f525053e64bd") + ) + (fp_line + (start 0.9 -1.1) + (end -0.9 -1.1) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bff7073b-1b45-454e-a4ff-8f005d139a00") + ) + (fp_line + (start -0.9 1.1) + (end 0.9 1.1) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4b0d55c6-f833-45bd-a6f2-3ffe34475eff") + ) + (fp_line + (start -0.9 -1.1) + (end -0.9 1.1) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8140e8b6-55b1-46cc-81d8-d3f528a43c17") + ) + (fp_line + (start 0.6 0.8) + (end -0.6 0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "14239583-f65a-4cff-b968-5d03aea90734") + ) + (fp_line + (start 0.6 -0.8) + (end 0.6 0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4c1da98e-c01d-47a3-bed3-be7b01104167") + ) + (fp_line + (start -0.3 -0.8) + (end 0.6 -0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "817eb40d-3fdc-4bdc-903a-3385118bf4cf") + ) + (fp_line + (start -0.3 -0.8) + (end -0.6 -0.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5d1306a3-3538-4bbe-9d2c-e7671927308b") + ) + (fp_line + (start -0.6 0.8) + (end -0.6 -0.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "02ad52d9-e6ad-4e7a-8055-30a8222c8212") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "830217dc-58ad-4888-9a67-7935951e9243") + (effects + (font + (size 0.35 0.35) + (thickness 0.05) + ) + ) + ) + (pad "1" smd rect + (at -0.4 -0.55 180) + (size 0.5 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 48 "unconnected-(X1-Tri-State-Pad1)") + (pinfunction "Tri-State") + (pintype "input") + (uuid "77e1925a-447d-4b8b-a51e-44d8e318a350") + ) + (pad "2" smd rect + (at -0.4 0.55 180) + (size 0.5 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "bbc862b3-a484-499c-acc6-7e1b029d5e0c") + ) + (pad "3" smd rect + (at 0.4 0.55 180) + (size 0.5 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 64 "/CLK_12M") + (pinfunction "OUT") + (pintype "output") + (uuid "8c68e137-7df7-4b9e-b990-a722e5856589") + ) + (pad "4" smd rect + (at 0.4 -0.55 180) + (size 0.5 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 7 "+3V3") + (pinfunction "VDD") + (pintype "power_in") + (uuid "591278c6-633a-4c27-9828-7d034734adca") + ) + (model "${KICAD8_3DMODEL_DIR}/Oscillator.3dshapes/Oscillator_SMD_Abracon_ASCO-4Pin_1.6x1.2mm.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0603_1608Metric" + (layer "F.Cu") + (uuid "53a25edb-754e-49f9-8dc5-a1c33697ce8c") + (at 140.97 89.154) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Reference" "R11" + (at -0.635 -1.143 0) + (layer "F.SilkS") + (uuid "cfc46d40-126e-40a9-b08a-ef3ab8b292bd") + (effects + (font + (size 0.8 0.8) + (thickness 0.13) + ) + ) + ) + (property "Value" "1k" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "8485938b-453e-44e5-9a8c-ae4b46bf46a6") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a5dc589f-efd6-42eb-bce9-b048ac0509ac") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2adaceff-63e7-4f49-9b6b-30df0d101e93") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "530edf8c-8170-4616-90d3-8e607fc85082") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/30303176-61b5-4511-888d-78140e9d3aa6") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.237258 -0.5225) + (end 0.237258 -0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "59a5e1b9-00b2-4075-9d44-d18e41e40490") + ) + (fp_line + (start -0.237258 0.5225) + (end 0.237258 0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "0b0c8058-c59c-453d-b865-8a0470de1d57") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "29f4e6cf-1a51-47b5-84e1-16bd54663c49") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "adbf2e1c-3e3d-4caf-8f4b-edb7fe614d4c") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "591a7519-5d8c-452c-b916-05c599b95ebe") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3e6589e4-687a-4f24-bcd9-c2b5c9da10aa") + ) + (fp_line + (start -0.8 -0.4125) + (end 0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d5d52913-72f7-4539-b206-55b0344b658b") + ) + (fp_line + (start -0.8 0.4125) + (end -0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "85770106-74cf-4baf-ba74-964f772e2c69") + ) + (fp_line + (start 0.8 -0.4125) + (end 0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "bd1ba8c6-e9a5-43c3-9f13-f59300cd9103") + ) + (fp_line + (start 0.8 0.4125) + (end -0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "77995c4d-ec6e-4f7b-a4ce-9416ae1a4fca") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "1f5a4bdf-061e-4cff-9670-d563358453e5") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.825 0) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 24 "/VREF") + (pintype "passive") + (uuid "13f29792-8cf3-4fbf-a5d4-362c68319994") + ) + (pad "2" smd roundrect + (at 0.825 0) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pintype "passive") + (uuid "9e177beb-ceea-414c-a1a0-aaf3ffbf7aaf") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric" + (layer "F.Cu") + (uuid "5eabd531-7c73-4460-a621-1baf5297ff46") + (at 149.159 104.013) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C2" + (at -2.601 0 0) + (layer "F.SilkS") + (uuid "fc470ef2-fed2-48fa-b595-1f528924c17e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1u" + (at 0 1.68 0) + (layer "F.Fab") + (uuid "293a048c-f17b-4c26-8d95-509ba2adc0c5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "202bb0a9-9aa4-40b3-9813-161c17aef379") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6849b6b3-927e-4a8a-b7f2-b1c65c0a71a4") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f0762147-fd08-413e-b6a1-a5f60b468ea9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/ac2900c4-bddc-485c-b46e-ddd13f5b0b97/d7314fd7-9470-406a-8051-3fd7681f942d") + (sheetname "power") + (sheetfile "power.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "0c01b16e-2446-45ab-bd18-47deb1ff0e7c") + ) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7d002065-00b0-4612-b15c-4084b8fb99e2") + ) + (fp_line + (start -1.7 -0.98) + (end 1.7 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "08d92820-e262-4132-8e94-66c82fbc63d6") + ) + (fp_line + (start -1.7 0.98) + (end -1.7 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ac5c380b-dc4f-42bc-8a48-5a030dca9c25") + ) + (fp_line + (start 1.7 -0.98) + (end 1.7 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "300d3c29-3b96-4b32-b55d-5db511cbe35f") + ) + (fp_line + (start 1.7 0.98) + (end -1.7 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "17251aec-7fb2-4ef9-a112-b9faad0a8ecf") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3967e811-96b1-44fd-9b7e-f7aa968b2efe") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "48d14e90-fb54-4a1f-b4fe-16669c13a511") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "eeb6d807-ad7d-4fd8-a40c-0fe2d5b0854c") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3bb4d954-4904-43e9-a11b-5fcfcd6d00d1") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "eace07e4-b5c9-4849-97af-c4cf2112b7c2") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -0.95 0) + (size 1 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 1 "+1V2") + (pintype "passive") + (uuid "f1156374-c7f9-4873-b507-7cbeeb65b481") + ) + (pad "2" smd roundrect + (at 0.95 0) + (size 1 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pintype "passive") + (uuid "50abb112-5664-40e8-a4c8-a80627ac4bc6") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "6abab15b-032d-46f9-9e4d-c0019086ba66") + (at 136.271 97.155 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C10" + (at -0.635 1.397 180) + (layer "F.SilkS") + (hide yes) + (uuid "8b0bd70b-3276-4cfa-a2ee-0b1c1a7ff06c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "100n" + (at 0 1.43 180) + (layer "F.Fab") + (uuid "eb77f275-3612-4af2-bc6b-64b91ca0c9d7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f292c55e-06a4-4ca4-88ea-329ef4f32604") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1b3f1549-4806-4481-8542-8cf77f21357c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a1606848-8d02-4df3-ab97-a4d38bf23859") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/ac2900c4-bddc-485c-b46e-ddd13f5b0b97/c106e03f-72e3-43f7-96a1-120e0bbbdfbe") + (sheetname "power") + (sheetfile "power.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "68a7c946-b920-4d37-8fe0-5495328989bf") + ) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9e7b2714-2243-42e5-8dbb-820407e2846d") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ab138e6e-2f6b-4518-bb37-ca05217f2772") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a0ad38e9-4d7e-497f-bbdd-35b994ef58b9") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6fa6156c-b504-488d-82e1-041b13c77fe5") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "50344f5e-aa2c-4c8e-9a56-cf0b623b538c") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8334b40f-a73a-4888-bf5b-49ab6d624fe6") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "542d30f8-8588-4790-a571-89ce07ba1f40") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4d6bacd4-73dd-44db-8cbd-ea4b4edf4302") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1f5991f6-582e-4373-b65e-a59a74f7da6c") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "b70609a1-8140-4b1b-b2a4-f277bf889707") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 180) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 1 "+1V2") + (pintype "passive") + (uuid "8f2c6a57-c965-497f-8c21-a46efc1a0eeb") + ) + (pad "2" smd roundrect + (at 0.775 0 180) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pintype "passive") + (uuid "2b507c7d-377f-4b6e-ab50-d94527b68667") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_RJ:RJ45_Amphenol_RJMG1BD3B8K1ANR" + (layer "F.Cu") + (uuid "700632bf-2ff6-45f4-9c6c-fcf09828745a") + (at 146.05 80.03 180) + (descr "1 Port RJ45 Magjack Connector Through Hole 10/100 Base-T, AutoMDIX, https://www.amphenol-cs.com/media/wysiwyg/files/drawing/rjmg1bd3b8k1anr.pdf") + (tags "RJ45 Magjack") + (property "Reference" "J2" + (at 8.445 -4.9942 180) + (layer "F.SilkS") + (hide yes) + (uuid "fbd0923f-3488-471e-afb9-a657d568481a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "RJ45_Amphenol_RJMG1BD3B8K1ANR" + (at 4.445 18.23 180) + (layer "F.Fab") + (uuid "a2da6537-b98a-490f-9e5a-d78777b79c21") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Connector_RJ:RJ45_Amphenol_RJMG1BD3B8K1ANR" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "06ce6a45-13fe-41ae-89a7-09debff0d6de") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.amphenol-cs.com/media/wysiwyg/files/drawing/rjmg1bd3b8k1anr.pdf" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4753e013-a470-4cde-b1eb-d82ec79597af") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "1 Port RJ45 Magjack Connector Through Hole 10/100 Base-T, AutoMDIX" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0624d943-ad12-4b85-a697-4f1445f65cf2") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "RJ45*Amphenol*RJMG1BD3B8K1ANR*") + (path "/a03fa50a-a4b2-4f37-8acb-d311b6e47009") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr through_hole) + (fp_line + (start 12.42 17.33) + (end -3.53 17.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "962abddb-7dc3-4533-b56b-e06a8bab4623") + ) + (fp_line + (start 12.42 4.89) + (end 12.42 17.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c715f409-28d4-4078-ae9b-7c11eff543dd") + ) + (fp_line + (start 12.42 -4.59) + (end 12.42 1.69) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c4654843-b1c4-40ce-8ff1-161b0638e97d") + ) + (fp_line + (start -3.53 17.33) + (end -3.53 4.89) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "094ab089-8384-4e23-9329-5b5d7fd2e317") + ) + (fp_line + (start -3.53 1.69) + (end -3.53 -4.59) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f63083c8-b6bd-4a90-994e-5aacfbe58d2f") + ) + (fp_line + (start -3.53 -4.59) + (end 12.42 -4.59) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "68c5e297-07c3-446e-9e8a-e9ae5d4c8b3f") + ) + (fp_line + (start -3.73 -1) + (end -3.73 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d11586ed-dac8-4f29-8379-c869acd1eb73") + ) + (fp_line + (start 14.02 4.04) + (end 12.82 5.24) + (stroke + (width 0.05) + (type default) + ) + (layer "F.CrtYd") + (uuid "0fc07789-0ddc-485f-b820-9768c0f5101d") + ) + (fp_line + (start 14.02 2.54) + (end 14.02 4.04) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "eb365ae7-786a-4cd9-bb9d-dba1ab6bdc11") + ) + (fp_line + (start 12.82 5.24) + (end 12.82 17.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3e4cbe34-79d1-4909-a9b1-43d468682fe3") + ) + (fp_line + (start 12.82 1.34) + (end 14.02 2.54) + (stroke + (width 0.05) + (type default) + ) + (layer "F.CrtYd") + (uuid "c4350fca-04a9-4cb2-a77b-1fa305fb1b46") + ) + (fp_line + (start 12.82 -4.99) + (end 12.82 1.34) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f866a7ec-9d47-4a10-8ef2-8f2a006e35f3") + ) + (fp_line + (start 12.82 -4.99) + (end -3.93 -4.99) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "352556bf-ef77-47da-a504-9aa98e9423e7") + ) + (fp_line + (start -3.93 17.73) + (end 12.82 17.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a1ac4f6a-ac28-4cbf-b4d7-86913d57e1b3") + ) + (fp_line + (start -3.93 5.24) + (end -3.93 17.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c9de1974-6ae4-4df2-9846-8b1f0fca1dcf") + ) + (fp_line + (start -3.93 1.34) + (end -5.13 2.54) + (stroke + (width 0.05) + (type default) + ) + (layer "F.CrtYd") + (uuid "d6d7760a-8c39-4d9d-9c03-997198fbe6ef") + ) + (fp_line + (start -3.93 -4.99) + (end -3.93 1.34) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ce1f1e6c-3324-4e74-bc3e-981ef87b7dca") + ) + (fp_line + (start -5.13 4.04) + (end -3.93 5.24) + (stroke + (width 0.05) + (type default) + ) + (layer "F.CrtYd") + (uuid "abebcc46-8584-4f9f-aa9d-cec9a1dc68dc") + ) + (fp_line + (start -5.13 2.54) + (end -5.13 4.04) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7e9f0cca-6067-4169-adf6-787a9365488c") + ) + (fp_line + (start 12.32 17.23) + (end -3.43 17.23) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6a2cccd2-0575-48e2-b107-58f1d287312f") + ) + (fp_line + (start 12.32 -4.49) + (end 12.32 17.23) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "bc7cde1e-a1b4-4ae0-8ecd-4a7980bc5dfd") + ) + (fp_line + (start 0 -3.49) + (end 1 -4.49) + (stroke + (width 0.1) + (type default) + ) + (layer "F.Fab") + (uuid "e53e91e9-1b26-4494-857c-d2374555e3ca") + ) + (fp_line + (start 0 -3.49) + (end -1 -4.49) + (stroke + (width 0.1) + (type default) + ) + (layer "F.Fab") + (uuid "02aa252d-e436-4359-82e9-244563946a3c") + ) + (fp_line + (start -3.43 17.23) + (end -3.43 -4.49) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2e1f69a6-537f-4b55-b7b2-5e93b55697b4") + ) + (fp_line + (start -3.43 -4.49) + (end 12.32 -4.49) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "60da181f-287a-41c6-b944-13659fa7ec53") + ) + (fp_text user "${REFERENCE}" + (at 4.445 6.37 180) + (layer "F.Fab") + (uuid "a2859e5a-041a-46a9-b88b-f2748b2a8dfc") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at -1.27 6.34 180) + (size 3.25 3.25) + (drill 3.25) + (layers "*.Cu" "*.Mask") + (uuid "37b07252-93b0-4dd6-8c6e-8cafdf9db3d6") + ) + (pad "" np_thru_hole circle + (at 10.16 6.34 180) + (size 3.25 3.25) + (drill 3.25) + (layers "*.Cu" "*.Mask") + (uuid "b01db4ab-92df-4ec0-afb6-1db672b0c1dd") + ) + (pad "L1" thru_hole circle + (at 10.77 9.72 180) + (size 1.89 1.89) + (drill 0.89) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 7 "+3V3") + (pintype "passive") + (uuid "317bd936-d223-4a54-89b0-3c80bf15be10") + ) + (pad "L2" thru_hole circle + (at 8.23 11.24 180) + (size 1.89 1.89) + (drill 0.89) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 67 "Net-(J2-PadL2)") + (pintype "passive") + (uuid "e358d195-c34b-4a35-a163-70a51bdfc095") + ) + (pad "L3" thru_hole circle + (at 0.66 9.72 180) + (size 1.89 1.89) + (drill 0.89) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 66 "Net-(J2-PadL3)") + (pintype "passive") + (uuid "d7de03be-ad13-4ffb-972e-fe676c950972") + ) + (pad "L4" thru_hole circle + (at -1.88 11.24 180) + (size 1.89 1.89) + (drill 0.89) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 7 "+3V3") + (pintype "passive") + (uuid "e37424ca-5e36-48a8-a89e-96951c2ec656") + ) + (pad "R1" thru_hole rect + (at 0 0 180) + (size 1.9 1.9) + (drill 0.9) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 34 "/LV_TX+") + (pinfunction "TD+") + (pintype "passive") + (uuid "dd2df3c7-8bc7-4d62-b016-4bf14bdb0f2b") + ) + (pad "R2" thru_hole circle + (at 1.27 -2.54 180) + (size 1.9 1.9) + (drill 0.9) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 47 "/TX-") + (pinfunction "TD-") + (pintype "passive") + (uuid "5994c302-2fd5-4186-b16d-2cd4d7c07364") + ) + (pad "R3" thru_hole circle + (at 2.54 0 180) + (size 1.9 1.9) + (drill 0.9) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 23 "/RX+") + (pinfunction "RD+") + (pintype "passive") + (uuid "0b7f2772-94f1-4c11-bcfa-c6962066bb74") + ) + (pad "R4" thru_hole circle + (at 3.81 -2.54 180) + (size 1.9 1.9) + (drill 0.9) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 27 "unconnected-(J2-TCT-PadR4)") + (pinfunction "TCT") + (pintype "passive+no_connect") + (uuid "768292e1-adfc-4068-847b-73e4ef883a8f") + ) + (pad "R5" thru_hole circle + (at 5.08 0 180) + (size 1.9 1.9) + (drill 0.9) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 24 "/VREF") + (pinfunction "RCT") + (pintype "passive") + (uuid "1d016f7f-1740-4d37-a9c0-a1db45851e41") + ) + (pad "R6" thru_hole circle + (at 6.35 -2.54 180) + (size 1.9 1.9) + (drill 0.9) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 28 "/RX-") + (pinfunction "RD-") + (pintype "passive") + (uuid "77dd28f6-47d2-4a44-8095-bdf50a6763d5") + ) + (pad "R7" thru_hole circle + (at 7.62 0 180) + (size 1.9 1.9) + (drill 0.9) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 25 "unconnected-(J2-NC-PadR7)") + (pinfunction "NC") + (pintype "no_connect") + (uuid "3fc217e1-a88d-481c-9ef1-2941a17717d8") + ) + (pad "R8" thru_hole circle + (at 8.89 -2.54 180) + (size 1.9 1.9) + (drill 0.9) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 29 "unconnected-(J2-PadR8)") + (pintype "power_in+no_connect") + (uuid "7b667f21-1a64-4a02-a633-1d45536f9029") + ) + (pad "SH" thru_hole circle + (at -3.33 3.29 180) + (size 2.6 2.6) + (drill 1.6) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 38 "unconnected-(J2-PadSH)_0") + (pintype "passive+no_connect") + (uuid "e5d0f369-4ed3-45a0-9788-9041ee2bbe68") + ) + (pad "SH" thru_hole circle + (at 12.22 3.29 180) + (size 2.6 2.6) + (drill 1.6) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 30 "unconnected-(J2-PadSH)") + (pintype "passive+no_connect") + (uuid "aae8c53f-01a0-4f5e-a5be-46688568b16a") + ) + (model "${KICAD8_3DMODEL_DIR}/Connector_RJ.3dshapes/RJ45_Amphenol_RJMG1BD3B8K1ANR.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_SO:SOIC-8_5.23x5.23mm_P1.27mm" + (layer "F.Cu") + (uuid "740d8ed4-8124-42dd-9f3d-21ff796993a6") + (at 136.95 108.204 180) + (descr "SOIC, 8 Pin (http://www.winbond.com/resource-files/w25q32jv%20revg%2003272018%20plus.pdf#page=68), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOIC SO") + (property "Reference" "U7" + (at 0 0 180) + (layer "F.SilkS") + (uuid "dd7f8272-a704-4aec-ae09-c331a1b23cc0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "W25Q128JVS" + (at 0 3.56 180) + (layer "F.Fab") + (uuid "c30c506b-0b9b-4442-8a65-e7bd6967238b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_SO:SOIC-8_5.23x5.23mm_P1.27mm" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "07ea43d4-fc97-4185-992f-15648a5eb0d2") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "http://www.winbond.com/resource-files/w25q128jv_dtr%20revc%2003272018%20plus.pdf" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "94d5d94e-1722-4710-9ecd-a9cd35b51f77") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "128Mb Serial Flash Memory, Standard/Dual/Quad SPI, SOIC-8" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "dcd1f10e-cd00-4c46-8383-05ac7672350d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "SOIC*5.23x5.23mm*P1.27mm*") + (path "/69b0a4e2-604f-432f-b58b-9ee30ba6b1f6") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start 2.725 2.725) + (end 2.725 2.465) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3e28a3ed-549b-47aa-a61e-f5790c96eaa7") + ) + (fp_line + (start 2.725 -2.725) + (end 2.725 -2.465) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "35356af4-9f29-4457-bb00-6efccbae647d") + ) + (fp_line + (start 0 2.725) + (end 2.725 2.725) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "84502ac4-1409-4321-a65c-1cce89274dd1") + ) + (fp_line + (start 0 2.725) + (end -2.725 2.725) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3325fdfd-89b8-4803-8aa7-0f363907978f") + ) + (fp_line + (start 0 -2.725) + (end 2.725 -2.725) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2c46b572-2af8-43cc-bd75-1f31b32dec76") + ) + (fp_line + (start 0 -2.725) + (end -2.725 -2.725) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "538c830c-3c4f-4a69-8829-f9ac47b46c7f") + ) + (fp_line + (start -2.725 2.725) + (end -2.725 2.465) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "231f99ca-5800-4649-b064-2a77d3e61153") + ) + (fp_line + (start -2.725 -2.725) + (end -2.725 -2.465) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "92ebf3e0-a036-4025-a2f4-0d6821df2293") + ) + (fp_poly + (pts + (xy -3.5075 -2.465) (xy -3.7475 -2.795) (xy -3.2675 -2.795) (xy -3.5075 -2.465) + ) + (stroke + (width 0.12) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "f41fd14b-25ec-447d-b4af-3e72393e4d50") + ) + (fp_line + (start 4.65 2.86) + (end 4.65 -2.86) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e3b8a2c7-b81a-48b8-b22f-9139bda1c9a2") + ) + (fp_line + (start 4.65 -2.86) + (end -4.65 -2.86) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3513923c-03ab-4e44-8337-336d2cfcb323") + ) + (fp_line + (start -4.65 2.86) + (end 4.65 2.86) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6f3a0ee4-0ff9-464b-bdac-ed7aa7926442") + ) + (fp_line + (start -4.65 -2.86) + (end -4.65 2.86) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "adfefcb1-80bd-4a23-a56f-f7f8e34aa80c") + ) + (fp_line + (start 2.615 2.615) + (end -2.615 2.615) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "bfa1301e-83bf-4f35-880f-5ee1a6c1b331") + ) + (fp_line + (start 2.615 -2.615) + (end 2.615 2.615) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "432cf40b-7e46-4e28-8114-c33e10ca8d4e") + ) + (fp_line + (start -1.615 -2.615) + (end 2.615 -2.615) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "99277024-906e-4b3a-9ae4-d4a634a40940") + ) + (fp_line + (start -2.615 2.615) + (end -2.615 -1.615) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9879b72d-f063-4919-9030-5e545781f30f") + ) + (fp_line + (start -2.615 -1.615) + (end -1.615 -2.615) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ad20aba7-1cb2-4eb1-9dec-6a1057ad8281") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "4e19a3bc-1a99-474b-a197-4bea454204ed") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -3.6 -1.905 180) + (size 1.6 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 11 "/~{CF_CS}") + (pinfunction "~{CS}") + (pintype "input") + (uuid "8956080b-6738-4fa6-ac6b-cab3b7ccd9b2") + ) + (pad "2" smd roundrect + (at -3.6 -0.635 180) + (size 1.6 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 10 "/CF_DO") + (pinfunction "DO(IO1)") + (pintype "bidirectional") + (uuid "b5ce0239-2717-4ffe-b6bf-3fa2ffef3f00") + ) + (pad "3" smd roundrect + (at -3.6 0.635 180) + (size 1.6 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 9 "/CF_IO2") + (pinfunction "IO2") + (pintype "bidirectional") + (uuid "ae279fe7-5e9d-4ca4-81b8-f433d0a144f5") + ) + (pad "4" smd roundrect + (at -3.6 1.905 180) + (size 1.6 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pinfunction "GND") + (pintype "power_in") + (uuid "e15ffe29-f39d-4703-9b59-8cf82823094f") + ) + (pad "5" smd roundrect + (at 3.6 1.905 180) + (size 1.6 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 13 "/CF_DI") + (pinfunction "DI(IO0)") + (pintype "bidirectional") + (uuid "764b617e-7a71-4176-870b-b561b3a3a3ca") + ) + (pad "6" smd roundrect + (at 3.6 0.635 180) + (size 1.6 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 14 "/CF_CLK") + (pinfunction "CLK") + (pintype "input") + (uuid "3f02104b-879f-490b-b431-f6b8c3f35a53") + ) + (pad "7" smd roundrect + (at 3.6 -0.635 180) + (size 1.6 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 15 "/CF_IO3") + (pinfunction "IO3") + (pintype "bidirectional") + (uuid "393401b7-dc73-4794-885d-b078310e54e3") + ) + (pad "8" smd roundrect + (at 3.6 -1.905 180) + (size 1.6 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pinfunction "VCC") + (pintype "power_in") + (uuid "22f4d18c-1ac4-4573-983e-3984b0bd7cb9") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8_5.23x5.23mm_P1.27mm.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0603_1608Metric" + (layer "F.Cu") + (uuid "76eb241e-cd86-4be1-9f55-115b6eeeaf24") + (at 146.05 95.377) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Reference" "R6" + (at -0.635 -1.143 0) + (layer "F.SilkS") + (uuid "54df26a7-1f1f-4ac5-9ea0-600d284840ee") + (effects + (font + (size 0.8 0.8) + (thickness 0.13) + ) + ) + ) + (property "Value" "1k" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "a0787cc7-e01d-429f-9f0c-d8e3998cbbc5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a4b459d3-cb3c-42a5-9c6f-eaf15aad3c67") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "12fb7fd9-27f7-44ac-a7f2-6a5124452f91") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "9e31ee0a-967c-4bc6-b597-a6c3b3edae25") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/1bd1a903-6965-4cc1-af90-6b8e0fe60c17") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.237258 -0.5225) + (end 0.237258 -0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6de1a309-9a49-4d5b-b1ce-693d0f0214e4") + ) + (fp_line + (start -0.237258 0.5225) + (end 0.237258 0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d778ad88-581d-4b90-bbaa-e3fe11efca0a") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a1381deb-e8a2-45c1-b2f0-ef0be20af068") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bf434a1f-0195-4740-b27b-ae8fa4d1fef4") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "105f4c96-c0da-4e36-8c41-12a279752142") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "752511fb-e327-44f1-9d3e-ab2996aedd6a") + ) + (fp_line + (start -0.8 -0.4125) + (end 0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0d8c6f21-41f5-49ce-b51d-544e636fa6af") + ) + (fp_line + (start -0.8 0.4125) + (end -0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2552bc8f-855c-4782-bb8e-1c7d40abc296") + ) + (fp_line + (start 0.8 -0.4125) + (end 0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "cbd3c400-d520-4598-ad15-a62d4c885f81") + ) + (fp_line + (start 0.8 0.4125) + (end -0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6f68dfb0-e3a1-41ce-9fcb-56a6be8bf695") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "162237ac-d1ae-4c65-b504-0923527ceef2") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.825 0) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 32 "/LV_RX+") + (pintype "passive") + (uuid "44d115fa-5816-456a-8a5a-21985ef857d1") + ) + (pad "2" smd roundrect + (at 0.825 0) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pintype "passive") + (uuid "b335f22b-2758-49b7-99c9-53fb76a2fe45") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "trashernet_soc:TestPoint_Pad_0.5x0.5mm" + (layer "F.Cu") + (uuid "7becc3ed-1f4f-4e2f-bfa4-3d491ea5bc1a") + (at 133.985 97.028) + (descr "SMD rectangular pad as test Point, square 1.0mm side length") + (tags "test point SMD pad rectangle square") + (property "Reference" "TP1" + (at 0 -1.448 0) + (layer "F.SilkS") + (hide yes) + (uuid "870694d7-abd0-426a-a30c-f0643aa4e28d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "CDONE" + (at 0 1.55 0) + (layer "F.Fab") + (uuid "7e08b60e-4760-4bea-bc32-7f29036f31fc") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "trashernet_soc:TestPoint_Pad_0.5x0.5mm" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "53bcc5c7-9e2b-4381-81a9-af3c9ce70a02") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e7dbc01c-29e6-456e-94c5-606fb2d44bee") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "test point" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8def9759-1aab-40c2-83e9-a271c12e6e77") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "Pin* Test*") + (path "/a400429e-b4f4-4e70-8514-9b2fe5ab67c1") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr exclude_from_pos_files) + (fp_line + (start -0.5 -0.5) + (end 0.5 -0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7db5ce3f-d665-4cdf-83eb-e00e1d8dde88") + ) + (fp_line + (start -0.5 0.5) + (end -0.5 -0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b6946fd0-aa62-46ea-a984-ab6497606ec6") + ) + (fp_line + (start 0.5 -0.5) + (end 0.5 0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9fa2c04a-9d99-4313-a234-cd6c182207e5") + ) + (fp_line + (start 0.5 0.5) + (end -0.5 0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "22462945-6c44-483f-8809-8b68deb86e82") + ) + (fp_line + (start -0.75 -0.75) + (end -0.75 0.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a54d8c32-02b7-4691-b820-dff4a78c0951") + ) + (fp_line + (start -0.75 -0.75) + (end 0.75 -0.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1fa10916-f7cf-4f34-b35c-027ee989225f") + ) + (fp_line + (start 0.75 0.75) + (end -0.75 0.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a0626f49-ce90-4244-9972-a40fc7bd384a") + ) + (fp_line + (start 0.75 0.75) + (end 0.75 -0.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "20e246d3-76a9-44be-be8a-a6124e6b8791") + ) + (fp_text user "${REFERENCE}" + (at 0 -1.45 0) + (layer "F.Fab") + (uuid "cdf6d4b9-d2c0-418a-8564-0518a7ba8052") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd rect + (at 0 0) + (size 0.8 0.8) + (layers "F.Cu" "F.Mask") + (net 12 "/CDONE") + (pinfunction "1") + (pintype "passive") + (uuid "92777a76-bb8f-4e98-b99a-79039d7a61f6") + ) + ) + (footprint "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" + (layer "F.Cu") + (uuid "7dfb4a5a-a00a-48f1-abdd-8623e4e5b388") + (at 141.924 100.519) + (descr "QFN, 48 Pin (http://www.st.com/resource/en/datasheet/stm32f042k6.pdf#page=94), generated with kicad-footprint-generator ipc_noLead_generator.py") + (tags "QFN NoLead") + (property "Reference" "U1" + (at -4.8208 2.5827 0) + (layer "F.SilkS") + (hide yes) + (uuid "53c72c3a-cd35-4d4f-9cb6-65fc0a0481db") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "ICE40UP5K-SG48ITR" + (at 0 4.83 0) + (layer "F.Fab") + (uuid "257d1c59-e210-40ea-b305-dbaaa63281e0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e5a8fa63-5196-4769-a2a2-f51138be3c60") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "http://www.latticesemi.com/Products/FPGAandCPLD/iCE40Ultra" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0613d3f4-db7a-407a-93f4-12a9ac1b7f67") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "iCE40 UltraPlus FPGA, 5280 LUTs, 1.2V, 48-pin QFN" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d64876af-73c7-4d83-a2ca-d946a7b6c268") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "QFN*1EP*7x7mm*P0.5mm*") + (path "/dc6cfbac-6e82-428a-b037-174d0ec06712") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -3.61 -3.135) + (end -3.61 -3.37) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "88c5edde-4d7c-47b2-a71a-d9ab2317b1ad") + ) + (fp_line + (start -3.61 3.61) + (end -3.61 3.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "337d15ef-605f-458b-9dc3-3c7d7b93051e") + ) + (fp_line + (start -3.135 -3.61) + (end -3.31 -3.61) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5a498c0b-2333-490c-83b8-c680400f7d36") + ) + (fp_line + (start -3.135 3.61) + (end -3.61 3.61) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c1b81627-1a75-46de-b91a-f935f2da3ee3") + ) + (fp_line + (start 3.135 -3.61) + (end 3.61 -3.61) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e6ba00ff-6577-4160-9d53-57b5729063d6") + ) + (fp_line + (start 3.135 3.61) + (end 3.61 3.61) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8f48c4e5-3208-4d1b-bb71-1ba97a0b9b92") + ) + (fp_line + (start 3.61 -3.61) + (end 3.61 -3.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5f749750-8f8f-4be0-9599-9d190a0328eb") + ) + (fp_line + (start 3.61 3.61) + (end 3.61 3.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f7815bd8-2b91-4c36-8e09-bbd2a69d851e") + ) + (fp_poly + (pts + (xy -3.61 -3.61) (xy -3.85 -3.94) (xy -3.37 -3.94) (xy -3.61 -3.61) + ) + (stroke + (width 0.12) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "47ed3eea-57c2-4e56-aaf5-9f3954cddc89") + ) + (fp_line + (start -4.13 -4.13) + (end -4.13 4.13) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "77d865cf-423a-4c77-9d4e-737b439fbaac") + ) + (fp_line + (start -4.13 4.13) + (end 4.13 4.13) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c3cf9962-0a2a-480a-8674-5b61ac9195fd") + ) + (fp_line + (start 4.13 -4.13) + (end -4.13 -4.13) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7b80139d-2348-44ba-bf05-b576fc14bace") + ) + (fp_line + (start 4.13 4.13) + (end 4.13 -4.13) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "69b1dc4c-b9d7-4786-88f4-fb6656ade919") + ) + (fp_line + (start -3.5 -2.5) + (end -2.5 -3.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ac1b9a7d-891a-43a9-97a5-b323b3c88e7b") + ) + (fp_line + (start -3.5 3.5) + (end -3.5 -2.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e4bec50a-8be2-45b1-8452-8261ce078869") + ) + (fp_line + (start -2.5 -3.5) + (end 3.5 -3.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "149698e3-babe-4172-8a3a-bef0b8043cf3") + ) + (fp_line + (start 3.5 -3.5) + (end 3.5 3.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1e5053eb-b39f-4371-8c98-dd77f27bcfc5") + ) + (fp_line + (start 3.5 3.5) + (end -3.5 3.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f7b58bf9-0a95-4787-b30b-19023926992f") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "29d4b85e-d20d-4ed6-a3af-6f9faa85b06e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" smd roundrect + (at -2.1 -2.1) + (size 1.13 1.13) + (layers "F.Paste") + (roundrect_rratio 0.221239) + (uuid "31590fe6-9e9b-4c24-8c13-3673aa00a486") + ) + (pad "" smd roundrect + (at -2.1 -0.7) + (size 1.13 1.13) + (layers "F.Paste") + (roundrect_rratio 0.221239) + (uuid "2308c0cc-b679-4930-b188-d7dd09616f65") + ) + (pad "" smd roundrect + (at -2.1 0.7) + (size 1.13 1.13) + (layers "F.Paste") + (roundrect_rratio 0.221239) + (uuid "69bab264-cb5e-4103-9e52-0523b5c0d6d4") + ) + (pad "" smd roundrect + (at -2.1 2.1) + (size 1.13 1.13) + (layers "F.Paste") + (roundrect_rratio 0.221239) + (uuid "6edcd600-1b06-4249-85cb-70d2ba6ce600") + ) + (pad "" smd roundrect + (at -0.7 -2.1) + (size 1.13 1.13) + (layers "F.Paste") + (roundrect_rratio 0.221239) + (uuid "02739c97-7560-435a-b750-85bc5b9a7c62") + ) + (pad "" smd roundrect + (at -0.7 -0.7) + (size 1.13 1.13) + (layers "F.Paste") + (roundrect_rratio 0.221239) + (uuid "7578710b-578a-4312-b907-afbd0be9613a") + ) + (pad "" smd roundrect + (at -0.7 0.7) + (size 1.13 1.13) + (layers "F.Paste") + (roundrect_rratio 0.221239) + (uuid "c8af15aa-564c-4be2-a416-1bef82f27d48") + ) + (pad "" smd roundrect + (at -0.7 2.1) + (size 1.13 1.13) + (layers "F.Paste") + (roundrect_rratio 0.221239) + (uuid "2f6a9764-65e3-49ea-8753-838fe0332a46") + ) + (pad "" smd roundrect + (at 0.7 -2.1) + (size 1.13 1.13) + (layers "F.Paste") + (roundrect_rratio 0.221239) + (uuid "bfb070b9-6270-4e60-a5a4-da67482ed660") + ) + (pad "" smd roundrect + (at 0.7 -0.7) + (size 1.13 1.13) + (layers "F.Paste") + (roundrect_rratio 0.221239) + (uuid "c4dda7a3-62af-4183-957f-43ee8ca25504") + ) + (pad "" smd roundrect + (at 0.7 0.7) + (size 1.13 1.13) + (layers "F.Paste") + (roundrect_rratio 0.221239) + (uuid "9b265052-3182-4ddb-983b-557ea4516912") + ) + (pad "" smd roundrect + (at 0.7 2.1) + (size 1.13 1.13) + (layers "F.Paste") + (roundrect_rratio 0.221239) + (uuid "30b74298-a7ea-4b4f-913d-debde76cf194") + ) + (pad "" smd roundrect + (at 2.1 -2.1) + (size 1.13 1.13) + (layers "F.Paste") + (roundrect_rratio 0.221239) + (uuid "4b3217de-4003-495a-9465-4b9f1a33d94b") + ) + (pad "" smd roundrect + (at 2.1 -0.7) + (size 1.13 1.13) + (layers "F.Paste") + (roundrect_rratio 0.221239) + (uuid "b3536662-0e60-4f8d-bf6c-cb7aeccbb3c2") + ) + (pad "" smd roundrect + (at 2.1 0.7) + (size 1.13 1.13) + (layers "F.Paste") + (roundrect_rratio 0.221239) + (uuid "2d2945c8-4297-4d46-927e-f2dcf443ab24") + ) + (pad "" smd roundrect + (at 2.1 2.1) + (size 1.13 1.13) + (layers "F.Paste") + (roundrect_rratio 0.221239) + (uuid "eaf0177e-3903-4a98-af2f-249d18f446c1") + ) + (pad "1" smd roundrect + (at -3.4375 -2.75) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 68 "/+3V3P") + (pinfunction "VCCIO_2") + (pintype "power_in") + (uuid "16ece949-288f-4b74-9d00-93dcb21f6a98") + ) + (pad "2" smd roundrect + (at -3.4375 -2.25) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 34 "/LV_TX+") + (pinfunction "IOB_6a") + (pintype "bidirectional") + (uuid "ce1ee15b-461a-45b5-aca4-4a658a48a456") + ) + (pad "3" smd roundrect + (at -3.4375 -1.75) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 34 "/LV_TX+") + (pinfunction "IOB_9b") + (pintype "bidirectional") + (uuid "990069c0-6b1b-4478-9aa9-e17876d1d8ed") + ) + (pad "4" smd roundrect + (at -3.4375 -1.25) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 34 "/LV_TX+") + (pinfunction "IOB_8a") + (pintype "bidirectional") + (uuid "0e5528a3-d485-434a-a49f-80afdda9541c") + ) + (pad "5" smd roundrect + (at -3.4375 -0.75) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 1 "+1V2") + (pinfunction "VCC") + (pintype "power_in") + (uuid "f65808e6-208b-43ef-8730-92c481408c78") + ) + (pad "6" smd roundrect + (at -3.4375 -0.25) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 17 "/RAM_IO0") + (pinfunction "IOB_13b") + (pintype "bidirectional") + (uuid "a7eb7ed0-5001-452d-9e17-0566eec8f3c1") + ) + (pad "7" smd roundrect + (at -3.4375 0.25) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 12 "/CDONE") + (pinfunction "CDONE") + (pintype "open_collector") + (uuid "7611ae47-4b52-4f6d-98b6-316c3303f696") + ) + (pad "8" smd roundrect + (at -3.4375 0.75) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 16 "/~{CRESET}") + (pinfunction "~{CRESET}") + (pintype "input") + (uuid "a55cd955-96bd-4679-b821-9118e1697b93") + ) + (pad "9" smd roundrect + (at -3.4375 1.25) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 21 "/RAM_SCK") + (pinfunction "IOB_16a") + (pintype "bidirectional") + (uuid "2017f4a0-526e-4a89-8419-5c299cbabb72") + ) + (pad "10" smd roundrect + (at -3.4375 1.75) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 20 "/RAM_IO3") + (pinfunction "IOB_18a") + (pintype "bidirectional") + (uuid "913b6ee1-b375-4c7e-b0b1-ba917c079bad") + ) + (pad "11" smd roundrect + (at -3.4375 2.25) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 18 "/RAM_IO1") + (pinfunction "IOB_20a") + (pintype "bidirectional") + (uuid "9254956b-970f-4722-a6aa-e497a6fdbd42") + ) + (pad "12" smd roundrect + (at -3.4375 2.75) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 19 "/RAM_IO2") + (pinfunction "IOB_22a") + (pintype "bidirectional") + (uuid "7320c25b-3367-4cc2-8617-0c1b4bcb5431") + ) + (pad "13" smd roundrect + (at -2.75 3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 22 "/~{RAM_CS}") + (pinfunction "IOB_24a") + (pintype "bidirectional") + (uuid "a48ab8bb-8163-4773-b696-bdacdef32233") + ) + (pad "14" smd roundrect + (at -2.25 3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 13 "/CF_DI") + (pinfunction "IOB_32a_SPI_SO") + (pintype "bidirectional") + (uuid "7e49dd84-950c-4aec-8c21-38c48aca4a4a") + ) + (pad "15" smd roundrect + (at -1.75 3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 14 "/CF_CLK") + (pinfunction "IOB_34a_SPI_SCK") + (pintype "bidirectional") + (uuid "a37283e4-eaa5-4c41-885c-1f72fce07635") + ) + (pad "16" smd roundrect + (at -1.25 3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 11 "/~{CF_CS}") + (pinfunction "IOB_35b_SPI_SS") + (pintype "bidirectional") + (uuid "5e505b30-e8e6-4b47-a236-9105fa82266e") + ) + (pad "17" smd roundrect + (at -0.75 3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 10 "/CF_DO") + (pinfunction "IOB_33b_SPI_SI") + (pintype "bidirectional") + (uuid "0b09e359-fe18-44b1-9a4e-f2f22d6be337") + ) + (pad "18" smd roundrect + (at -0.25 3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 9 "/CF_IO2") + (pinfunction "IOB_31b") + (pintype "bidirectional") + (uuid "0a620bfc-0869-41ac-862f-a90e46eb9200") + ) + (pad "19" smd roundrect + (at 0.25 3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 15 "/CF_IO3") + (pinfunction "IOB_29b") + (pintype "bidirectional") + (uuid "867e85f5-d08d-4ce9-bff0-cf8cf2c573f7") + ) + (pad "20" smd roundrect + (at 0.75 3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 43 "/PMOD0") + (pinfunction "IOB_25b_G3") + (pintype "bidirectional") + (uuid "a88ff3e4-41bd-4ef1-b593-fdb8d2a57cc3") + ) + (pad "21" smd roundrect + (at 1.25 3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 44 "/PMOD1") + (pinfunction "IOB_23b") + (pintype "bidirectional") + (uuid "80687fd6-a38b-4bdb-9678-fd95a7006423") + ) + (pad "22" smd roundrect + (at 1.75 3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pinfunction "SPI_VCCIO1") + (pintype "power_in") + (uuid "aa5170d4-90ec-4443-9821-b2951962db7a") + ) + (pad "23" smd roundrect + (at 2.25 3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 42 "/PMOD2") + (pinfunction "IOT_37a") + (pintype "bidirectional") + (uuid "9aed46c9-42e3-44c5-b07b-e61c4da75995") + ) + (pad "24" smd roundrect + (at 2.75 3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pinfunction "VPP_2V5") + (pintype "power_in") + (uuid "ae634a8b-0a19-4360-adaa-b362ceeb2fac") + ) + (pad "25" smd roundrect + (at 3.4375 2.75) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 40 "/PMOD3") + (pinfunction "IOT_36b") + (pintype "bidirectional") + (uuid "6caeb3d3-dc0e-4c9c-93aa-6fc10086d711") + ) + (pad "26" smd roundrect + (at 3.4375 2.25) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 41 "/PMOD4") + (pinfunction "IOT_39a") + (pintype "bidirectional") + (uuid "720ddee2-f1e9-47d9-94fc-c96320ae8699") + ) + (pad "27" smd roundrect + (at 3.4375 1.75) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 39 "/PMOD5") + (pinfunction "IOT_38b") + (pintype "bidirectional") + (uuid "f4065b8b-58bb-49bf-8c38-5c3780ddd16f") + ) + (pad "28" smd roundrect + (at 3.4375 1.25) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 45 "/PMOD6") + (pinfunction "IOT_41a") + (pintype "bidirectional") + (uuid "6e4b05ef-8462-4d8a-a0a2-c36a801a9bd5") + ) + (pad "29" smd roundrect + (at 3.4375 0.75) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 1 "+1V2") + (pinfunction "VCCPLL") + (pintype "power_out") + (uuid "cd7ee70e-f093-46a9-aa71-eb31ef8aa6cf") + ) + (pad "30" smd roundrect + (at 3.4375 0.25) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 1 "+1V2") + (pinfunction "VCC") + (pintype "passive") + (uuid "5e7e11e4-e89e-421f-8dd6-80d3001959d2") + ) + (pad "31" smd roundrect + (at 3.4375 -0.25) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 46 "/PMOD7") + (pinfunction "IOT_42b") + (pintype "bidirectional") + (uuid "c5c42993-e6a6-427d-8838-460b9dc5cb1b") + ) + (pad "32" smd roundrect + (at 3.4375 -0.75) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 2 "unconnected-(U1A-IOT_43a-Pad32)") + (pinfunction "IOT_43a") + (pintype "bidirectional") + (uuid "e6d594a4-ac71-47f0-acfc-b738288340c1") + ) + (pad "33" smd roundrect + (at 3.4375 -1.25) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pinfunction "VCCIO_0") + (pintype "power_in") + (uuid "162bc3dd-0edc-4b01-9802-47ad50896047") + ) + (pad "34" smd roundrect + (at 3.4375 -1.75) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 70 "Net-(U1A-IOT_44b)") + (pinfunction "IOT_44b") + (pintype "bidirectional") + (uuid "e8f4b06f-bf7d-425e-9161-f5d8fee9985f") + ) + (pad "35" smd roundrect + (at 3.4375 -2.25) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 64 "/CLK_12M") + (pinfunction "IOT_46b_G0") + (pintype "bidirectional") + (uuid "bbb48449-d7bf-41d0-af89-66827babc75b") + ) + (pad "36" smd roundrect + (at 3.4375 -2.75) + (size 0.875 0.25) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 65 "/SERIAL_PC_IN") + (pinfunction "IOT_48b") + (pintype "bidirectional") + (uuid "42e54ce0-f365-4309-ae52-35eddbfa22d4") + ) + (pad "37" smd roundrect + (at 2.75 -3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 63 "/SERIAL_PC_OUT") + (pinfunction "IOT_45a_G1") + (pintype "bidirectional") + (uuid "a7159ea8-272c-48fe-94f3-432df1106fd4") + ) + (pad "38" smd roundrect + (at 2.25 -3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 32 "/LV_RX+") + (pinfunction "IOT_50b") + (pintype "bidirectional") + (uuid "af7ab91f-140b-46f0-892b-f5fcd3997834") + ) + (pad "39" smd roundrect + (at 1.75 -3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 4 "unconnected-(U1A-RGB0-Pad39)") + (pinfunction "RGB0") + (pintype "open_collector") + (uuid "f7ddd321-6909-4483-8e11-57b3e1740017") + ) + (pad "40" smd roundrect + (at 1.25 -3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 35 "/ETH_LED1") + (pinfunction "RGB1") + (pintype "open_collector") + (uuid "dfc4d90b-9f47-4dd6-bf44-ac3cf518d492") + ) + (pad "41" smd roundrect + (at 0.75 -3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 31 "/ETH_LED0") + (pinfunction "RGB2") + (pintype "open_collector") + (uuid "57e344ec-abb5-4477-a00f-7155ab6978ef") + ) + (pad "42" smd roundrect + (at 0.25 -3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 33 "/LV_RX-") + (pinfunction "IOT_51a") + (pintype "bidirectional") + (uuid "32d952a1-08f6-4072-836c-556fabe7ca9d") + ) + (pad "43" smd roundrect + (at -0.25 -3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 36 "unconnected-(U1A-IOT_49a-Pad43)") + (pinfunction "IOT_49a") + (pintype "bidirectional") + (uuid "74afbdfc-b90c-420c-83e3-fdc2eea7d29f") + ) + (pad "44" smd roundrect + (at -0.75 -3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 26 "/LV_TX-") + (pinfunction "IOB_3b_G6") + (pintype "bidirectional") + (uuid "fcdd258d-b6b8-4184-a38b-b2060d32727f") + ) + (pad "45" smd roundrect + (at -1.25 -3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 26 "/LV_TX-") + (pinfunction "IOB_5b") + (pintype "bidirectional") + (uuid "d755e94e-1057-453b-88d7-80f9cc25c6b2") + ) + (pad "46" smd roundrect + (at -1.75 -3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 26 "/LV_TX-") + (pinfunction "IOB_0a") + (pintype "bidirectional") + (uuid "39282778-e708-4a35-94ee-8240beec775e") + ) + (pad "47" smd roundrect + (at -2.25 -3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 26 "/LV_TX-") + (pinfunction "IOB_2a") + (pintype "bidirectional") + (uuid "29c576dc-6a7f-401e-8fff-bbfc95b1aff7") + ) + (pad "48" smd roundrect + (at -2.75 -3.4375) + (size 0.25 0.875) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 34 "/LV_TX+") + (pinfunction "IOB_4a") + (pintype "bidirectional") + (uuid "2afc7b72-646f-40c1-96fa-4cea24bac6bd") + ) + (pad "49" smd rect + (at 0 0) + (size 5.6 5.6) + (property pad_prop_heatsink) + (layers "F.Cu" "F.Mask") + (net 3 "GND") + (pinfunction "GND") + (pintype "power_in") + (zone_connect 2) + (uuid "e915ad05-8ae1-4c83-8dd7-3a2420a18100") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_DFN_QFN.3dshapes/QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_0805_2012Metric" + (layer "F.Cu") + (uuid "8055c139-e987-43d2-a7b4-3519121d6642") + (at 149.987 86.8195 90) + (descr "Diode SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "diode") + (property "Reference" "D2" + (at -2.3345 0.254 0) + (layer "F.SilkS") + (uuid "fbc80317-f31e-41de-82f2-d447bdb11ffd") + (effects + (font + (size 0.8 0.8) + (thickness 0.13) + ) + ) + ) + (property "Value" "POL" + (at 0 1.65 -90) + (layer "F.Fab") + (uuid "92c223e9-da98-4a0c-b53d-36711ea9dda9") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_0805_2012Metric" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2fac2df5-9e0e-45af-b9b9-8e7b2498e87e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5a885784-c941-4227-8a67-20172cd4ed45") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Schottky diode" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2b8c857a-6bb3-4199-89bb-05b2bb9d26a7") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/a567741c-bfe0-4737-b224-2172f1c1bd58/412a4883-3224-4f9e-8fdc-2f4bb3a7f095") + (sheetname "USB") + (sheetfile "usb.kicad_sch") + (attr smd) + (fp_line + (start 1 -0.96) + (end -1.685 -0.96) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "57098fae-0283-46de-8624-e19e3ab7c6d4") + ) + (fp_line + (start -1.685 -0.96) + (end -1.685 0.96) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "281fe801-c13c-450e-a77d-1fddb6ca8dbf") + ) + (fp_line + (start -1.685 0.96) + (end 1 0.96) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2144becf-6fb8-41e5-b3dc-286bdcc979e6") + ) + (fp_line + (start 1.68 -0.95) + (end 1.68 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0a660301-3b4b-4b6e-b02e-2efac11bef8d") + ) + (fp_line + (start -1.68 -0.95) + (end 1.68 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "937d5bf2-020c-4edf-94dd-81ce19377b80") + ) + (fp_line + (start 1.68 0.95) + (end -1.68 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c7c32adb-6d06-4b26-a9da-a66cb18059e7") + ) + (fp_line + (start -1.68 0.95) + (end -1.68 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4d3622f6-d5b1-4cbc-8720-d15a88d047a9") + ) + (fp_line + (start 1 -0.6) + (end -0.7 -0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "39fb013d-6ce6-4513-8350-b5c867cd66b7") + ) + (fp_line + (start -0.7 -0.6) + (end -1 -0.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "855d6b91-1536-4b40-b502-980adb052d12") + ) + (fp_line + (start -1 -0.3) + (end -1 0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5037e369-9f08-466a-9ffd-c9b4155bc3cd") + ) + (fp_line + (start 1 0.6) + (end 1 -0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c9950dc1-308b-4c4a-9fea-7dc95e4c3c8f") + ) + (fp_line + (start -1 0.6) + (end 1 0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "bc95dd71-18b5-4ade-878d-937cb07aa8a8") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "047a65d4-0b75-4370-9e55-9b7b55d3dea1") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -0.9375 0 90) + (size 0.975 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 5 "+5V") + (pinfunction "K") + (pintype "passive") + (uuid "97f929ef-22a0-4145-9973-aaeaa7f442f4") + ) + (pad "2" smd roundrect + (at 0.9375 0 90) + (size 0.975 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 71 "VBUS") + (pinfunction "A") + (pintype "passive") + (uuid "56badfe2-684b-4119-859a-a6fed2e54d46") + ) + (model "${KICAD8_3DMODEL_DIR}/Diode_SMD.3dshapes/D_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0603_1608Metric" + (layer "F.Cu") + (uuid "821dc8f0-284a-4c98-882b-6b58e2adf837") + (at 133.985 93.98) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Reference" "R9" + (at 0 0 0) + (layer "F.SilkS") + (hide yes) + (uuid "e9d3bda9-9604-4eb7-b89a-ba8c0f5e363b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1k" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "51f64bc5-1a53-44d7-86f7-e3775550c2e8") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d4c92d0d-c548-4abf-9cf7-225f1084acf6") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "96f93a26-621b-4e83-a794-774d1e892ee3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "dc91b752-1204-4369-b55c-c12048b8c836") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/c0b1828d-f53c-41a3-9b63-cc9183f7d8e3") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.237258 -0.5225) + (end 0.237258 -0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "90cde6d8-6189-4c4a-bc6a-fd1fbf72fe70") + ) + (fp_line + (start -0.237258 0.5225) + (end 0.237258 0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "74489660-a606-4a72-b0a9-58dec26c7133") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4b1997b0-2371-46a4-8afe-67da07ebff0b") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "fff6f428-d1f0-4ec2-9199-325e7eb19ef1") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b5f5ad7e-6224-4049-9629-5ccb4e9d155b") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "29b09dad-11f4-4d6b-89b1-a6fc488dd426") + ) + (fp_line + (start -0.8 -0.4125) + (end 0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "94b6b48a-fd02-446e-9f38-58f299b15d24") + ) + (fp_line + (start -0.8 0.4125) + (end -0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8dcfa898-659e-4d9e-9d64-6ab64184daeb") + ) + (fp_line + (start 0.8 -0.4125) + (end 0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a67b0aca-e60c-4df2-a43e-946990cabcf9") + ) + (fp_line + (start 0.8 0.4125) + (end -0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4548e6f8-ec78-4a87-bcd5-de6addfdf749") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "4bc6b93d-34bd-4b3b-b0dd-956829a01a91") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.825 0) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pintype "passive") + (uuid "b69fbf11-a653-496a-aab8-d75b845625b6") + ) + (pad "2" smd roundrect + (at 0.825 0) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 16 "/~{CRESET}") + (pintype "passive") + (uuid "3955c8c9-46b6-42eb-b336-0c1da746b5c0") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0603_1608Metric" + (layer "F.Cu") + (uuid "836ade82-80d7-4a78-b7d3-cb4463723919") + (at 148.717 98.489 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Reference" "R12" + (at 0 0 90) + (layer "F.SilkS") + (hide yes) + (uuid "ab42f4d1-e4a4-4566-a900-9c3f64338998") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1k" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "2bdc9ef2-2591-4e49-b0cb-09a4ad4d9f84") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6a89fdc2-4499-446a-8c49-f731146a322f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "546c8c54-f414-40c3-a737-2137cbb64126") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f26b62f6-12d4-432d-981c-6868a2d2f3bc") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/aa3c0d1b-a404-480c-aad1-9e5b15cca512") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.237258 0.5225) + (end 0.237258 0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c5bb72ac-1118-4a5c-818d-412d85a6642a") + ) + (fp_line + (start -0.237258 -0.5225) + (end 0.237258 -0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "849fde99-02ca-4f3b-8b0a-f492c3362e49") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4075cd66-8a0a-482a-8e97-5a897276c127") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "60f9d305-87d3-4899-9515-ee7dae2868cf") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "250f92d0-2c79-412b-bd61-bce12d512872") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6db09f4d-5ed7-499c-a0e8-74f91275d6b1") + ) + (fp_line + (start -0.8 0.4125) + (end -0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4dd3c6d6-e563-4ae6-856d-9b2b7cf3bb10") + ) + (fp_line + (start 0.8 0.4125) + (end -0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d7eef3fd-e28c-47ba-8704-3ae649824373") + ) + (fp_line + (start -0.8 -0.4125) + (end 0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "40230ece-79d5-43ae-8164-578d414ad437") + ) + (fp_line + (start 0.8 -0.4125) + (end 0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ddc02c3a-cb54-4e56-9129-6ff7b31067c6") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "6a3fa97b-4d43-454f-8b64-26ae979e50e9") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.825 0 270) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 37 "Net-(D1-A)") + (pintype "passive") + (uuid "ef3c6af2-5f5a-484f-9671-e21224c68e7c") + ) + (pad "2" smd roundrect + (at 0.825 0 270) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 70 "Net-(U1A-IOT_44b)") + (pintype "passive") + (uuid "bd2bd206-1ae8-482e-b56b-05fee0cbead2") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0603_1608Metric" + (layer "F.Cu") + (uuid "891b2e26-9dbb-4082-b222-b1c09d77cd4a") + (at 142.24 93.091 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Reference" "R3" + (at 0 -0.127 90) + (layer "F.SilkS") + (hide yes) + (uuid "6b81767c-3c59-4eb6-bdab-3efc9b064f3d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "33" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "41a50088-74de-43e9-8f7c-97c47ea7707a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "9fa83817-5e63-4747-868e-a797db4528c9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7d49a956-317e-45df-869e-5f3ea54105a2") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ed6be7fb-0c8b-458d-a9d7-4133634b5cc8") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/9b88c849-7ca6-4920-b489-d46c16a4ea59") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.237258 0.5225) + (end 0.237258 0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5f4263a8-a633-492d-8deb-5a25a65fe08a") + ) + (fp_line + (start -0.237258 -0.5225) + (end 0.237258 -0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9cd24352-4fc3-4106-b870-42a9fc36caf9") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4d9a13ec-ff2f-4205-9bc3-ca86f760dae0") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "946afad9-c6c8-4948-9c5b-d18e1c36942d") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6c5d65fb-4e34-437b-b05a-14806ab70458") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e3b2e66a-471a-465a-bf49-69d1991c0f74") + ) + (fp_line + (start -0.8 0.4125) + (end -0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "667de174-f837-4e90-b5ba-e208726d1f93") + ) + (fp_line + (start 0.8 0.4125) + (end -0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "123a0b0c-c8a3-4cb4-9c7e-0d509b81434a") + ) + (fp_line + (start -0.8 -0.4125) + (end 0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b514d518-086b-4d45-bbbe-2d1b26926c9a") + ) + (fp_line + (start 0.8 -0.4125) + (end 0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "439c5b74-7a37-4869-a15a-1d59ac290c9b") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "787a96fe-b653-4ee5-8c26-e8239173b2b9") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.825 0 270) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 28 "/RX-") + (pintype "passive") + (uuid "60fda03b-a49b-48da-8cec-2ff024d755b1") + ) + (pad "2" smd roundrect + (at 0.825 0 270) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 33 "/LV_RX-") + (pintype "passive") + (uuid "9532343c-fceb-49a0-872e-8794f4c0a35b") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "8dc73475-7297-49ae-9c8e-e50659daab36") + (at 133.985 95.504 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C13" + (at 0.381 -1.651 180) + (layer "F.SilkS") + (hide yes) + (uuid "c1c078f9-1bf3-4f38-872c-371680aa30bf") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "100n" + (at 0 1.43 180) + (layer "F.Fab") + (uuid "9336f847-557d-4835-8889-d2774d3bc510") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "55f3ed08-e7a7-4e1e-8aa3-2b7f3b6e7f48") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7786e57c-2366-4815-843e-4f5b8270ded2") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c92789e0-df7b-45bb-ad48-dae49edfe3a6") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/551dca3a-665f-445c-a82f-18fa1ef6a9d6") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "701772f1-7011-4be3-b73f-d6ab8430b689") + ) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "93458349-93d8-400e-b5d1-2112460ee9ac") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3082a7d1-9831-40cb-afc8-f818bb3d7065") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7f5f2c5b-6727-4387-a6c8-87f6b78a06c5") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "91076481-7d91-4fda-9efa-2a6e5c6cefd2") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ab6ab968-58d1-4a82-a3c4-f748c88253fe") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "334c8afa-2bda-4842-b346-d8d14c8a4fcd") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "796098be-6ef1-4f39-83fb-e4915648dbbc") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0bc732e5-64b2-454b-b40c-012fb15ea4ab") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e7e1e572-c60c-4d2d-8cba-58f427c6f89d") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "d108f8b7-a71f-41cd-b1ca-2e5e189bf095") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 180) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pintype "passive") + (uuid "212f1af3-14b9-4cf8-80bc-c593276f9100") + ) + (pad "2" smd roundrect + (at 0.775 0 180) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pintype "passive") + (uuid "d5ea96a1-0b1a-4a8e-9a86-e1e0380d1ecb") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric" + (layer "F.Cu") + (uuid "963c3527-6054-4460-bb75-42739eafd4f5") + (at 149.159 110.109) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C1" + (at -2.474 0.127 0) + (layer "F.SilkS") + (uuid "5ad63a72-9724-43f8-85db-055caeaa07b7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1u" + (at 0 1.68 0) + (layer "F.Fab") + (uuid "e73214e2-332e-41d0-8ffb-ba7c91d5e05d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "80a62f7c-776d-4fc8-b097-8fad05f9f4eb") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4e82c3ac-e661-4f34-a619-3879a7fe112c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "095c5a12-6a29-436f-96fd-d0102ed6ab87") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/ac2900c4-bddc-485c-b46e-ddd13f5b0b97/5048710b-76ee-44ed-8007-dd151165922a") + (sheetname "power") + (sheetfile "power.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9e0c7496-3ebb-4f56-9d42-8355dab09d7c") + ) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8f7ffd14-b611-49e4-adbe-1574c4c62578") + ) + (fp_line + (start -1.7 -0.98) + (end 1.7 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "14ba3976-57b4-4bef-9423-5306b0da0f2c") + ) + (fp_line + (start -1.7 0.98) + (end -1.7 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8d53661f-e915-47f7-8bbf-f7574253d84c") + ) + (fp_line + (start 1.7 -0.98) + (end 1.7 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "77d345ae-2fc0-43bf-bb47-9d7a306140a8") + ) + (fp_line + (start 1.7 0.98) + (end -1.7 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "482f4633-12ea-4e44-bb20-a48fb4460612") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "97e47128-2d07-4fa4-a71c-2de1f2d0f9bc") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3c70d3db-e70b-465c-a20a-426368d28c22") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f4001301-af7d-41f5-b297-e97fd8d98f0e") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "154744dd-a70b-4a51-92a2-c45d92420754") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "3ce00a73-9a54-4f57-ad41-ca426c29536c") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -0.95 0) + (size 1 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pintype "passive") + (uuid "b8c13a2e-4d00-4f4e-aa6e-16e94ade5d78") + ) + (pad "2" smd roundrect + (at 0.95 0) + (size 1 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pintype "passive") + (uuid "761ee573-dae4-4430-bfd4-33b30374524b") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_DFN_QFN:QFN-16-1EP_4x4mm_P0.65mm_EP2.1x2.1mm" + (layer "F.Cu") + (uuid "9bc293b1-94f2-443e-9535-38157b24499e") + (at 147.32 91.3638 180) + (descr "QFN, 16 Pin (http://www.thatcorp.com/datashts/THAT_1580_Datasheet.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py") + (tags "QFN NoLead") + (property "Reference" "U4" + (at -3.048 -1.86 -90) + (layer "F.SilkS") + (uuid "fcac9895-78c0-4b5a-affc-8d9718af9592") + (effects + (font + (size 0.8 0.8) + (thickness 0.13) + ) + ) + ) + (property "Value" "FT230XQ" + (at 0 3.33 180) + (layer "F.Fab") + (uuid "1094aad6-0a5d-4c6e-a07e-4108264a8b5d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_DFN_QFN:QFN-16-1EP_4x4mm_P0.65mm_EP2.1x2.1mm" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a0c7e9a5-fe52-461f-880c-e4ae47dc7dc3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT230X.pdf" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "fc8cb1eb-e066-4b46-9449-fc31beee2fa5") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Full Speed USB to Basic UART, QFN-16" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e1602864-57a6-4b66-b292-d7f0e1f04be0") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "QFN*1EP*4x4mm*P0.65mm*") + (path "/a567741c-bfe0-4737-b224-2172f1c1bd58/ff31605c-60da-49d8-aefe-eb9be5fefd66") + (sheetname "USB") + (sheetfile "usb.kicad_sch") + (attr smd) + (fp_line + (start 2.11 2.11) + (end 2.11 1.385) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "55141abd-71be-41c4-848f-001edd435c36") + ) + (fp_line + (start 2.11 -2.11) + (end 2.11 -1.385) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c56d2c44-3af5-4bd2-8a79-fbd6fa33ca15") + ) + (fp_line + (start 1.385 2.11) + (end 2.11 2.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9fab8f74-6c02-4128-8e29-55bfb1b45ba6") + ) + (fp_line + (start 1.385 -2.11) + (end 2.11 -2.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f2711e3e-a753-43c2-9ad7-aa60b846f55d") + ) + (fp_line + (start -1.385 2.11) + (end -2.11 2.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "06d6c172-311f-4f44-8c4f-d7e850514075") + ) + (fp_line + (start -1.385 -2.11) + (end -1.81 -2.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ad5550be-17ea-43ce-ac64-8f1244011f43") + ) + (fp_line + (start -2.11 2.11) + (end -2.11 1.385) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d863864e-f29f-425a-b0d2-38d29f414ad7") + ) + (fp_line + (start -2.11 -1.385) + (end -2.11 -1.87) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "198943fc-7ad6-4f71-a39a-fa410503dea5") + ) + (fp_poly + (pts + (xy -2.11 -2.11) (xy -2.35 -2.44) (xy -1.87 -2.44) (xy -2.11 -2.11) + ) + (stroke + (width 0.12) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "85922a76-e93a-4803-9307-6cb2902c05f6") + ) + (fp_line + (start 2.63 2.63) + (end 2.63 -2.63) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7373c0f5-bb0d-4cf6-98e8-082c6e72b314") + ) + (fp_line + (start 2.63 -2.63) + (end -2.63 -2.63) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f3bbecd1-7470-4917-a788-acb27be0174a") + ) + (fp_line + (start -2.63 2.63) + (end 2.63 2.63) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4dc1ff67-b179-40ec-984a-3f50b5be7d8b") + ) + (fp_line + (start -2.63 -2.63) + (end -2.63 2.63) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0930538a-22fd-449d-8cdc-541e80a58c38") + ) + (fp_line + (start 2 2) + (end -2 2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "23c08dfd-81ba-4093-8bb3-68f7a0292054") + ) + (fp_line + (start 2 -2) + (end 2 2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6944304e-3eff-4b49-9f13-faa633c564e2") + ) + (fp_line + (start -1 -2) + (end 2 -2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d4c0c1ad-1f68-4935-a312-9fac4621cc48") + ) + (fp_line + (start -2 2) + (end -2 -1) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "09270274-f194-4e8e-9d6c-12457c1d1ff0") + ) + (fp_line + (start -2 -1) + (end -1 -2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1cd25535-5e72-485c-baeb-d204f3c3f171") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "c35cab31-1dda-4c55-bb08-8ff897d62e7c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" smd roundrect + (at -0.525 -0.525 180) + (size 0.85 0.85) + (layers "F.Paste") + (roundrect_rratio 0.25) + (uuid "fb26c7bb-4788-4010-9590-07ce63bd2111") + ) + (pad "" smd roundrect + (at -0.525 0.525 180) + (size 0.85 0.85) + (layers "F.Paste") + (roundrect_rratio 0.25) + (uuid "0bf2a011-b1d6-4464-a960-8be147d477c3") + ) + (pad "" smd roundrect + (at 0.525 -0.525 180) + (size 0.85 0.85) + (layers "F.Paste") + (roundrect_rratio 0.25) + (uuid "f1d0ff3c-fb91-4df8-8ccf-61c31c6595cc") + ) + (pad "" smd roundrect + (at 0.525 0.525 180) + (size 0.85 0.85) + (layers "F.Paste") + (roundrect_rratio 0.25) + (uuid "d1654fe2-0b49-4bd6-9228-9fec017304c3") + ) + (pad "1" smd roundrect + (at -1.95 -0.975 180) + (size 0.85 0.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pinfunction "VCCIO") + (pintype "power_in") + (uuid "9e9f25ed-e40d-45fa-a5d7-fd0001f70fee") + ) + (pad "2" smd roundrect + (at -1.95 -0.325 180) + (size 0.85 0.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 65 "/SERIAL_PC_IN") + (pinfunction "RXD") + (pintype "input") + (uuid "a459fb87-7dfb-474c-9c81-07faaaa1834e") + ) + (pad "3" smd roundrect + (at -1.95 0.325 180) + (size 0.85 0.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "61ff230b-87b0-47b6-b6ce-01c415302b8f") + ) + (pad "4" smd roundrect + (at -1.95 0.975 180) + (size 0.85 0.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 59 "unconnected-(U4-~{CTS}-Pad4)") + (pinfunction "~{CTS}") + (pintype "input+no_connect") + (uuid "96b46c2d-db0d-4781-b7a5-7c51b9668830") + ) + (pad "5" smd roundrect + (at -0.975 1.95 180) + (size 0.3 0.85) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 58 "unconnected-(U4-CBUS2-Pad5)") + (pinfunction "CBUS2") + (pintype "bidirectional+no_connect") + (uuid "6e2803de-bd49-4581-af1f-e00217544cdc") + ) + (pad "6" smd roundrect + (at -0.325 1.95 180) + (size 0.3 0.85) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 49 "/USB/USB_D+") + (pinfunction "USBDP") + (pintype "bidirectional") + (uuid "1340070c-9fec-46b2-9d08-d4cda744508e") + ) + (pad "7" smd roundrect + (at 0.325 1.95 180) + (size 0.3 0.85) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 55 "/USB/USB_D-") + (pinfunction "USBDM") + (pintype "bidirectional") + (uuid "e9b8ab1f-4b37-49c2-82ca-cc2688ba9c55") + ) + (pad "8" smd roundrect + (at 0.975 1.95 180) + (size 0.3 0.85) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 62 "unconnected-(U4-3V3OUT-Pad8)") + (pinfunction "3V3OUT") + (pintype "power_out") + (uuid "fc87644b-02f6-46de-8516-dc35de1b4823") + ) + (pad "9" smd roundrect + (at 1.95 0.975 180) + (size 0.85 0.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pinfunction "~{RESET}") + (pintype "input") + (uuid "adc3e986-1446-4f6f-89b7-a45356fb01df") + ) + (pad "10" smd roundrect + (at 1.95 0.325 180) + (size 0.85 0.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pinfunction "VCC") + (pintype "power_in") + (uuid "34cb1e6b-cfab-4567-926d-e77206cd0f7a") + ) + (pad "11" smd roundrect + (at 1.95 -0.325 180) + (size 0.85 0.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 60 "unconnected-(U4-CBUS1-Pad11)") + (pinfunction "CBUS1") + (pintype "bidirectional+no_connect") + (uuid "b18686ab-c354-42aa-a8a1-d2cd2a11fd25") + ) + (pad "12" smd roundrect + (at 1.95 -0.975 180) + (size 0.85 0.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 61 "unconnected-(U4-CBUS0-Pad12)") + (pinfunction "CBUS0") + (pintype "bidirectional+no_connect") + (uuid "cf38c7d7-e9e2-4555-af22-e377f0ab80d6") + ) + (pad "13" smd roundrect + (at 0.975 -1.95 180) + (size 0.3 0.85) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "d4b04742-cf53-4062-9e7a-da61bfde4113") + ) + (pad "14" smd roundrect + (at 0.325 -1.95 180) + (size 0.3 0.85) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 57 "unconnected-(U4-CBUS3-Pad14)") + (pinfunction "CBUS3") + (pintype "bidirectional+no_connect") + (uuid "33926c8f-9ddd-4384-8660-e5adbd4c0342") + ) + (pad "15" smd roundrect + (at -0.325 -1.95 180) + (size 0.3 0.85) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 63 "/SERIAL_PC_OUT") + (pinfunction "TXD") + (pintype "output") + (uuid "fe1eefbb-1f12-442c-96fb-a3c1e57933e0") + ) + (pad "16" smd roundrect + (at -0.975 -1.95 180) + (size 0.3 0.85) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 56 "unconnected-(U4-~{RTS}-Pad16)") + (pinfunction "~{RTS}") + (pintype "output+no_connect") + (uuid "0ed1fd53-1895-4542-b089-8c3a108cceec") + ) + (pad "17" smd rect + (at 0 0 180) + (size 2.1 2.1) + (property pad_prop_heatsink) + (layers "F.Cu" "F.Mask") + (net 3 "GND") + (pinfunction "GND") + (pintype "power_in") + (zone_connect 2) + (uuid "850be408-c4e8-4f51-8290-8beb38b97dc8") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_DFN_QFN.3dshapes/QFN-16-1EP_4x4mm_P0.65mm_EP2.1x2.1mm.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Button_Switch_SMD:SW_SPST_B3U-1000P" + (layer "F.Cu") + (uuid "a4e50df9-9d49-4e0d-a1d9-3697c769d76c") + (at 134.112 90.805 90) + (descr "Ultra-small-sized Tactile Switch with High Contact Reliability, Top-actuated Model, without Ground Terminal, without Boss") + (tags "Tactile Switch") + (property "Reference" "SW1" + (at 0 0 -90) + (layer "F.SilkS") + (uuid "7b39899c-aad3-41f4-a85f-5da565f0868d") + (effects + (font + (size 0.8 0.8) + (thickness 0.13) + ) + ) + ) + (property "Value" "Reset" + (at 0 2.5 -90) + (layer "F.Fab") + (uuid "c0eca874-e835-4b4f-b190-d0d90c81fd73") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Button_Switch_SMD:SW_SPST_B3U-1000P" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "05bde653-08bc-465e-94fc-eb2da4149028") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "34db5a79-33ad-4681-9e79-f392d504116f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Push button switch, generic, two pins" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2134059d-9fda-4acd-b344-7203eb965466") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/5af9051a-0338-4e54-9df5-c7fff9c6a18d") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start 1.65 -1.4) + (end 1.65 -1.1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3e45a0b2-db22-4564-b1ee-30f98e1f80b4") + ) + (fp_line + (start -1.65 -1.4) + (end 1.65 -1.4) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5f9e2df8-c8f0-4b2e-bc08-9f33e9e7f5b5") + ) + (fp_line + (start -1.65 -1.1) + (end -1.65 -1.4) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7f0a429e-6d3a-4205-aec8-3899566444e4") + ) + (fp_line + (start -1.65 1.1) + (end -1.65 1.4) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c1a45d55-ba5d-4a51-a937-0d7517a6879a") + ) + (fp_line + (start 1.65 1.4) + (end 1.65 1.1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "68f5da60-2946-4111-be5b-e249cae8b9fe") + ) + (fp_line + (start -1.65 1.4) + (end 1.65 1.4) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "29e5d9ba-dfa3-4eca-b80e-9e3abd1beff5") + ) + (fp_line + (start 2.4 -1.65) + (end -2.4 -1.65) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0a39d93f-3f34-4d64-bcde-3cbf86149a25") + ) + (fp_line + (start -2.4 -1.65) + (end -2.4 1.65) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9381e81b-f46b-44cd-a0e1-4c7c8cd144cf") + ) + (fp_line + (start 2.4 1.65) + (end 2.4 -1.65) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "92a3b1bf-2144-44c7-bf24-06027ead2cd3") + ) + (fp_line + (start -2.4 1.65) + (end 2.4 1.65) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "76adb97a-66d1-4d7d-85d2-2113fd61b84e") + ) + (fp_line + (start 1.5 -1.25) + (end 1.5 1.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c0308cf5-7c56-48a9-8d2c-5b7f390b358d") + ) + (fp_line + (start -1.5 -1.25) + (end 1.5 -1.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "389e15c5-bc87-41f5-a576-1d304ea755ae") + ) + (fp_line + (start 1.5 1.25) + (end -1.5 1.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9e05a267-bc74-4691-80ed-1b37f08f325d") + ) + (fp_line + (start -1.5 1.25) + (end -1.5 -1.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a3fb1df6-dd02-415f-981a-ab2848352a03") + ) + (fp_circle + (center 0 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (fill none) + (layer "F.Fab") + (uuid "1ae894ba-72ee-4f5d-acaf-8a42032ad9c5") + ) + (fp_text user "${REFERENCE}" + (at 0 -2.5 -90) + (layer "F.Fab") + (uuid "24d1b6cf-64b8-4da4-afd3-3603f0e89b4c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd rect + (at -1.7 0 90) + (size 0.9 1.7) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 16 "/~{CRESET}") + (pinfunction "1") + (pintype "passive") + (uuid "e3c25525-74c6-44f6-8ab1-2b064ca12f21") + ) + (pad "2" smd rect + (at 1.7 0 90) + (size 0.9 1.7) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "GND") + (pinfunction "2") + (pintype "passive") + (uuid "7cbfe1fb-4d11-453a-b95d-540bdb29a7fc") + ) + (model "${KICAD8_3DMODEL_DIR}/Button_Switch_SMD.3dshapes/SW_SPST_B3U-1000P.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0603_1608Metric" + (layer "F.Cu") + (uuid "a9d69483-094d-4e55-a026-53cae754dc99") + (at 138.557 86.614 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Reference" "R8" + (at -1.143 2.667 90) + (layer "F.SilkS") + (uuid "a2284637-885e-48a3-9db3-65f49c6079a8") + (effects + (font + (size 0.8 0.8) + (thickness 0.13) + ) + ) + ) + (property "Value" "1k" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "1cdccf93-7b90-4655-ae0a-3a5f344e9c79") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3c54db00-8296-4594-9d26-f1d4e2418c0c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0e99b87e-ca86-430d-97b2-66a4727b0aed") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "274f5449-132e-4ebf-80e4-7e5f367b37a3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/b30ef012-08df-4fac-b5c6-f32dc941cca7") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.237258 0.5225) + (end 0.237258 0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "74361923-e01c-4f43-8aaa-4b549f3ab811") + ) + (fp_line + (start -0.237258 -0.5225) + (end 0.237258 -0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7a48c1e9-7f23-4217-89f7-29192fd5ce6b") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e3466692-64fe-4619-85e9-f0c08efb8021") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cf4b23e6-8b63-4d4c-9b2a-5207b531b291") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4a2a7747-4036-4088-8342-906435fea278") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a2ea7845-8137-4caf-8143-395a8dcfd1ea") + ) + (fp_line + (start -0.8 0.4125) + (end -0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5bde080d-5f05-497d-9f64-082d67482bb7") + ) + (fp_line + (start 0.8 0.4125) + (end -0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7e055807-aaeb-4e83-ab03-09f8f9d32266") + ) + (fp_line + (start -0.8 -0.4125) + (end 0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fa891af8-8297-4a72-afcc-f3b73a523d01") + ) + (fp_line + (start 0.8 -0.4125) + (end 0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fbbe10d8-31a9-401a-887c-49e9e1654fb7") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "43cc0eb2-650b-498f-8e7f-a969e730c7b1") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.825 0 270) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 66 "Net-(J2-PadL3)") + (pintype "passive") + (uuid "3c5f6869-ac0c-46ad-801d-7863aca323b0") + ) + (pad "2" smd roundrect + (at 0.825 0 270) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 35 "/ETH_LED1") + (pintype "passive") + (uuid "272669c2-9db5-4761-a5d8-921d0ae39439") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "aad48399-a421-4120-b7c3-60a620eed5fe") + (at 142.367 107.569 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C12" + (at -3.048 0 -90) + (layer "F.SilkS") + (uuid "79fe3e7a-0773-420e-9b58-500c294c8415") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "100n" + (at 0 1.43 -90) + (layer "F.Fab") + (uuid "53c36a40-4200-4d30-960c-6b6be59cfd42") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f6cda43a-df79-451b-8435-126798a23f8a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "772393ae-d624-432f-bf68-4da658ccfc8b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "93309cd2-4721-4655-bd37-486dea196273") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/78d4edae-aade-4399-996c-c47ea7795f31") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d989c683-744e-4339-80e7-45f74cf77ea4") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "311e8022-8702-4745-98f1-15a7c17c54b4") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4fb1a01e-6f6e-43fe-a547-b2ac8461e8bf") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8b4b7674-24a4-449e-9a27-2de4b8c7734d") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "28cdd519-5e5c-4ac0-88a5-0c0ca89083cb") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5b82f81d-262c-44bc-ba34-20e12e91a795") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9fd60c10-bf68-409a-be6a-13cbc62ad627") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4fbd0be3-62b1-4af7-b6a5-93dfc5416900") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3aafca46-7a4b-432d-b8ea-c20bf19b3f8e") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4e8c75f2-d8f2-4b42-9637-5aeb3cd97974") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "23f4003c-a30d-4ab5-8881-f8701eb837c2") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pintype "passive") + (uuid "840d6a7b-4cc5-43d2-93b6-08a1a236a1d6") + ) + (pad "2" smd roundrect + (at 0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pintype "passive") + (uuid "058d087a-6598-4f24-b582-286730f59d23") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "ae46bedf-d74e-4dc0-ae4b-ae82faf7a8a6") + (at 145.288 106.553 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C14" + (at 0 -1.43 -90) + (layer "F.SilkS") + (uuid "82e11f54-0c25-495d-a7ef-d1acd073f5e0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "100n" + (at 0 1.43 -90) + (layer "F.Fab") + (uuid "bcd86457-1331-4476-822e-cb97b862fb11") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6c7b152e-f5a7-4a6b-9e65-65afc7e883df") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2371a14b-6dd4-4537-ab9a-7d7c0b252131") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2815f7cb-8b97-4585-bda3-00f1e4fcc931") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/3da6dc98-a416-4d55-b654-cf739b9a4cd3") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8373b0ea-3508-4ac2-bbda-8fe6bcf3d500") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "33e3e1ab-d1f5-40fe-ab94-8d34cd9be897") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b012e2c4-7226-4e6b-a710-5209ce62d69c") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "10f3341e-9458-4501-b5a4-0f2c220dc4cd") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c86b7dcb-18f1-4038-8b13-7992ddbe21a7") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4c029722-6e4e-44e3-8dd1-3696db6f8551") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0aaedbca-81ce-4f84-b158-f4f314557b06") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "39556634-3dfb-46a4-8410-cdd8cd216937") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8b81cd89-c5b8-4bcf-8c86-2e89d99572f2") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e35ec763-49bf-4a7e-8b2a-b52ff1b1a43d") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "e8f412d4-d1e3-48b9-8760-bc67639a9b32") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pintype "passive") + (uuid "eb4d3fb8-367e-46e5-b9ea-eb1618ba8eae") + ) + (pad "2" smd roundrect + (at 0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pintype "passive") + (uuid "a12cb2a8-c259-44f6-bd5d-32b6b8ed66d7") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "b517dd94-388a-4c8a-8f72-506634bedfe7") + (at 138.557 94.856 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C15" + (at 0 -1.43 90) + (layer "F.SilkS") + (hide yes) + (uuid "cda543cb-5ddc-4db7-89ea-991a2bf1bb53") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "100n" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "0ef8a599-17d3-450b-948f-436928eea1b2") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d43b1e20-321c-45d9-9b09-83b9ab0c2642") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5365d273-cd7b-4741-a5b4-ed0b34baba35") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "cc0241d4-33e1-41e1-aa9d-1004497cceda") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/85738ebe-fefd-43e8-b150-c2a391b668e2") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a3a46d41-a537-4c94-b0e8-206212ccc41f") + ) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ad935975-ea8d-45d8-9eb9-434d83ad1db9") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a9a7a4b3-912e-40bb-a5a3-b9dbbe51f0e8") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "364d80b6-3968-4b89-9a68-99d04adb52f5") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a6162b2b-fda4-4ac9-90b1-9a91e13834a4") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6d44fc5e-35c2-4288-8c59-7fcdd18ef251") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "511c23cb-0b2f-4088-9dbc-f9d7aa0f65d5") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f044ff2a-e81f-41d6-b210-09daccd63c35") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3432eec0-b655-48b1-a3ba-dca42d830a40") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b3658d0d-2b59-405e-b04b-4f10e03c7ecf") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "0ce65750-b032-4330-8e53-4c6a02a79b8b") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 270) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pintype "passive") + (uuid "28cfd59c-53fa-4475-9f6b-36857bbceb14") + ) + (pad "2" smd roundrect + (at 0.775 0 270) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 68 "/+3V3P") + (pintype "passive") + (uuid "a81976db-10f7-41f2-962c-05f4f17293dd") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "LED_SMD:LED_0603_1608Metric" + (layer "F.Cu") + (uuid "b889387e-75c4-4bec-8934-e2531d9c3c24") + (at 150.2156 98.4757 90) + (descr "LED SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (property "Reference" "D1" + (at 0 0 -90) + (layer "F.SilkS") + (hide yes) + (uuid "7cb31dc0-d234-4c72-89f7-95c54648e88b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "User" + (at 0 1.43 -90) + (layer "F.Fab") + (uuid "9feab81b-457f-4211-903b-ddcae95ef20d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "LED_SMD:LED_0603_1608Metric" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b1c4a906-d22b-475c-b9c7-93afaccd2c2a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7d1ddd52-9f5d-4289-b149-4283d3df444d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Light emitting diode" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "af524339-0b10-4672-ba04-1e8637fd9cdf") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "LED* LED_SMD:* LED_THT:*") + (path "/e14e1c54-fc4e-49b0-ba7f-fff46065298d") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start 0.8 -0.735) + (end -1.485 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "dec379b1-1136-4223-b4d2-3e6f528a410c") + ) + (fp_line + (start -1.485 -0.735) + (end -1.485 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "493b5555-a113-47cd-898e-c2bff1c87d94") + ) + (fp_line + (start -1.485 0.735) + (end 0.8 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a6f15c03-2833-4f14-9741-5873207b9357") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "176dd80c-6ee4-4aa1-8556-1d182f1d23ee") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c72fe49b-387d-4b54-b051-21f94670fbde") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5a6abbb7-2325-46df-abf4-cb7003de5aed") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bf303fa4-d001-497c-b2ce-06e23452a3c1") + ) + (fp_line + (start 0.8 -0.4) + (end -0.5 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6d0fe517-7a0e-4ab4-84a3-9a4a72a3303d") + ) + (fp_line + (start -0.5 -0.4) + (end -0.8 -0.1) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e2c84458-203c-4e66-a16a-ed357b011ed6") + ) + (fp_line + (start -0.8 -0.1) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "34d467e6-7ea7-47ca-8e6d-2348ec3eec3e") + ) + (fp_line + (start 0.8 0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ab086bbe-8602-4057-ace1-5f64302020a6") + ) + (fp_line + (start -0.8 0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c81dc3eb-8cc9-42e6-8407-36c6432eec60") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "0a79a230-aa70-4c8b-a37a-1961b887cd8e") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.7875 0 90) + (size 0.875 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pinfunction "K") + (pintype "passive") + (uuid "e859ea39-3af2-4d06-bcc9-549968afc961") + ) + (pad "2" smd roundrect + (at 0.7875 0 90) + (size 0.875 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 37 "Net-(D1-A)") + (pinfunction "A") + (pintype "passive") + (uuid "0197ee74-b8a9-443c-90b6-b2843862a9a9") + ) + (model "${KICAD8_3DMODEL_DIR}/LED_SMD.3dshapes/LED_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" + (layer "F.Cu") + (uuid "bb4ae638-bc4a-4461-9709-38b7d3976c08") + (at 135.001 101.6 90) + (descr "SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOIC SO") + (property "Reference" "U6" + (at 0 0 -90) + (layer "F.SilkS") + (uuid "53cb662a-61fa-4ac1-8a30-879334068614") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "AP6404L-3SQR-SN" + (at 0 3.4 -90) + (layer "F.Fab") + (uuid "182dce26-c16f-428a-a99d-15e32d0b917c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "bda48c3a-5e59-4d92-b813-b0803e2c8fc0") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.adestotech.com/wp-content/uploads/DS-AT25SF081_045.pdf" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c82e161f-9f05-48d4-a0ad-11ded42d74cb") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "8-Mbit, 2.5V Minimum SPI Serial Flash Memory with Dual-I/O and Quad-I/O Support, SOIC-8" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2f3ae204-35ee-4d13-8968-57495815be61") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "SOIC?8*3.9x4.9mm*P1.27mm*") + (path "/e70ce37b-d662-4d04-aaab-4ed028b0823c") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start 0 -2.56) + (end 1.95 -2.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9878bb0c-6ba5-4c10-82ca-8158d90feeff") + ) + (fp_line + (start 0 -2.56) + (end -1.95 -2.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4f833e1a-c9d0-4159-b95f-30286cebad61") + ) + (fp_line + (start 0 2.56) + (end 1.95 2.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c6619a72-a570-419d-9c3e-a859df72ce56") + ) + (fp_line + (start 0 2.56) + (end -1.95 2.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "165e79e7-22e0-4876-9b9b-5c2cf5ef06d0") + ) + (fp_poly + (pts + (xy -2.7 -2.465) (xy -2.94 -2.795) (xy -2.46 -2.795) (xy -2.7 -2.465) + ) + (stroke + (width 0.12) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "ff8e8740-c647-4473-a1f0-cca698d3be0f") + ) + (fp_line + (start 3.7 -2.7) + (end -3.7 -2.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ec5a59e7-cd4e-42b1-8ade-4f5842e34e3b") + ) + (fp_line + (start -3.7 -2.7) + (end -3.7 2.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2399bb85-46b8-44c5-9d29-e6cdd1f0eb33") + ) + (fp_line + (start 3.7 2.7) + (end 3.7 -2.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "86924d4e-c17a-4e0c-8c9d-c89343baff27") + ) + (fp_line + (start -3.7 2.7) + (end 3.7 2.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "14a813e7-59c1-4f8f-89e2-fc98bb5f3a2f") + ) + (fp_line + (start 1.95 -2.45) + (end 1.95 2.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "373c8103-0477-4b15-8c31-efdfbb42a290") + ) + (fp_line + (start -0.975 -2.45) + (end 1.95 -2.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "59cb2142-7458-4eea-b0cf-0a4181862718") + ) + (fp_line + (start -1.95 -1.475) + (end -0.975 -2.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7978ca56-d5f9-4d18-bd78-263d8c4ea74d") + ) + (fp_line + (start 1.95 2.45) + (end -1.95 2.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "52a18b34-b203-475b-99b7-213e5e2c0062") + ) + (fp_line + (start -1.95 2.45) + (end -1.95 -1.475) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fc05c567-63f1-414e-b5be-6cf8621f22a1") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "22fd1d8e-58b8-4cd6-b35e-8e4047fe15a5") + (effects + (font + (size 0.98 0.98) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -2.475 -1.905 90) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 22 "/~{RAM_CS}") + (pinfunction "~{CS}") + (pintype "input") + (uuid "d891e19c-41e9-4e50-9e10-a5a7ebd34b98") + ) + (pad "2" smd roundrect + (at -2.475 -0.635 90) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 18 "/RAM_IO1") + (pinfunction "SO/IO1") + (pintype "bidirectional") + (uuid "429c1d54-f8bc-499c-ae96-0f6c57784948") + ) + (pad "3" smd roundrect + (at -2.475 0.635 90) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 19 "/RAM_IO2") + (pinfunction "~{WP}/IO2") + (pintype "bidirectional") + (uuid "71374d5d-1bac-4e03-9dd1-cc16b9ef7b25") + ) + (pad "4" smd roundrect + (at -2.475 1.905 90) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "29c95b73-78a4-4745-8909-b3594a6aa940") + ) + (pad "5" smd roundrect + (at 2.475 1.905 90) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 17 "/RAM_IO0") + (pinfunction "SI/IO0") + (pintype "bidirectional") + (uuid "1fb79a04-158b-49fa-8549-105287c81c43") + ) + (pad "6" smd roundrect + (at 2.475 0.635 90) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 21 "/RAM_SCK") + (pinfunction "SCK") + (pintype "input") + (uuid "c993b937-bb33-45c0-9340-8360843ddf01") + ) + (pad "7" smd roundrect + (at 2.475 -0.635 90) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 20 "/RAM_IO3") + (pinfunction "~{HOLD}/IO3") + (pintype "bidirectional") + (uuid "ae6969b5-5a87-4042-bc23-f19c4d6b3403") + ) + (pad "8" smd roundrect + (at 2.475 -1.905 90) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pinfunction "VCC") + (pintype "power_in") + (uuid "93a2b310-3f4e-425e-9d36-5914bf53c003") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric" + (layer "F.Cu") + (uuid "c77f28b4-34df-495f-837f-06eac557dd46") + (at 140.335 94.681 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C3" + (at -0.447 -0.381 90) + (layer "F.SilkS") + (hide yes) + (uuid "7c842e91-165e-45d8-90e2-df779a443778") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1u" + (at 0 1.68 90) + (layer "F.Fab") + (uuid "faff8afe-16cd-42d9-9f9d-b79112daf957") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "9e894786-73fa-49e2-ac21-d8cd3e2a7eae") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7b0bef6f-a740-4a89-a152-0767c0c63104") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4d02e885-7ae7-4a4c-8062-34dc7f8cea1e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/377c1d92-8c52-48ed-b9cc-4e9cacfc2a56") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e76746d9-6765-41f7-a60d-4065a1ec9227") + ) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3561eaf0-7a64-4da9-8cca-682f020c761d") + ) + (fp_line + (start -1.7 0.98) + (end -1.7 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b5648e0a-a463-4d26-80d8-ecc9e61c0a56") + ) + (fp_line + (start 1.7 0.98) + (end -1.7 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ed58d146-3175-4512-b9aa-18809b171a54") + ) + (fp_line + (start -1.7 -0.98) + (end 1.7 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2d8d8cc7-d05f-40fa-a1c8-884b1d11005a") + ) + (fp_line + (start 1.7 -0.98) + (end 1.7 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e5c6b122-5c35-4bde-b560-09f4bf88d70b") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ccfce7d9-651d-4111-a0ab-31cd652709fc") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "96c0f621-15ce-49e5-900c-66525ce52c05") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "955bab04-3d52-4187-8fb8-e402bf6081ec") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "86e77458-dbfa-48d2-8344-e8fa9535becd") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "012f0b70-1135-4c00-bae1-3b843e754123") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -0.95 0 270) + (size 1 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pintype "passive") + (uuid "46099427-6cfd-4006-a9d7-9aaffe25ac61") + ) + (pad "2" smd roundrect + (at 0.95 0 270) + (size 1 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 68 "/+3V3P") + (pintype "passive") + (uuid "c5335cb7-707c-4398-9774-9fb052138bcd") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "d948fa5d-db02-4e92-968e-1ac9d8a325c9") + (at 140.97 90.678) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C4" + (at 0 0 0) + (layer "F.SilkS") + (hide yes) + (uuid "d1e45040-2848-4a0d-af12-e763a10eefc4") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "100n" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "e377b8c6-3734-445d-a3ef-7900f87be78d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "bfcff218-0563-4f55-b4c4-74a970db7c26") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f32ae98d-8212-4b2a-8142-04c93e35fd03") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "33b0ac59-c847-4815-84d5-5cdd23e43964") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/d6a13b8a-9604-4cc1-9987-b79f1f093415") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3eb4cd31-4c69-4c90-8454-687baf13cfbb") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "fbb3a858-3dfc-49a4-9751-2e5efdfae7de") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ea1622c7-7e0e-4d84-bf74-c89255782a2d") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4fcb1a09-4086-4129-b5d0-d4fbbdf60940") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1ba2e484-6799-40b7-b3d4-5e6df95e5289") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4c1fb233-5fd7-49af-bcc6-ca58d1a14d14") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ac3ad362-b318-4ab2-a5cf-8ab67bc0aee2") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e92bbc12-801d-4fab-81b9-b2d851b18d2d") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f37e9d11-c97e-4fea-9cc8-0671d4db85a4") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "53845a30-85a1-41ba-9599-e83b58877bd0") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "e2439973-f849-44f9-9a76-9505d459cb75") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 24 "/VREF") + (pintype "passive") + (uuid "135682e3-e5b8-4fa7-874c-df7b8b410a46") + ) + (pad "2" smd roundrect + (at 0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pintype "passive") + (uuid "74b4d26c-cd7f-449c-9d24-3d2e45057fac") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric" + (layer "F.Cu") + (uuid "df3979a5-5faa-4b9b-b157-450dfc2c011d") + (at 147.955 86.741 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C7" + (at -2.0755 0 0) + (layer "F.SilkS") + (hide yes) + (uuid "fd85efd0-2bbd-4291-ae06-937eaece5e67") + (effects + (font + (size 0.8 0.8) + (thickness 0.13) + ) + ) + ) + (property "Value" "1u" + (at 0 1.68 -90) + (layer "F.Fab") + (uuid "2d816b9f-2f87-4c8e-a634-1d75298fd507") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "53478c67-cf48-4ad1-b04e-d739bacc113e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "83871445-aed0-4a35-8ebe-2cabd01f046b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4054ba36-bb7e-49a9-92b4-4f325f85460a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/ac2900c4-bddc-485c-b46e-ddd13f5b0b97/42dfa5c1-68a8-40cc-a043-2f58a9d9c302") + (sheetname "power") + (sheetfile "power.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "29c9d39f-265b-42ef-945f-fd5c2a6b1b29") + ) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2e3ebdcf-1d11-4bc2-9764-be0bbf526d7d") + ) + (fp_line + (start 1.7 -0.98) + (end 1.7 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5aec3f0e-815f-4a74-a88f-3fbb4f828f16") + ) + (fp_line + (start -1.7 -0.98) + (end 1.7 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ff385af9-02c8-4d38-bcc3-b1f2800f83b1") + ) + (fp_line + (start 1.7 0.98) + (end -1.7 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "18d3da50-3055-4a6a-95db-29f0527fa974") + ) + (fp_line + (start -1.7 0.98) + (end -1.7 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0fabf85d-9a99-4de3-90bb-114775619a3f") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "17e89e81-0416-43de-9631-3d6aaa8f3138") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e396b6b9-6754-4fc4-a00c-fe2b575ccab3") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7d3e29bb-8cd9-4b5e-9697-aea37ddf26a5") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "db21ef8f-eff2-4ba7-af99-6873396fe46b") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "232563e1-4f00-48eb-a930-df54416050f4") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -0.95 0 90) + (size 1 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 5 "+5V") + (pintype "passive") + (uuid "c155992f-c369-4e60-99ed-ff31a2871ec0") + ) + (pad "2" smd roundrect + (at 0.95 0 90) + (size 1 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pintype "passive") + (uuid "d999023a-ce9c-4f73-9ec4-e86402da74e0") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric" + (layer "F.Cu") + (uuid "e40a0f64-4e0c-41f3-a45f-843f54c9e62a") + (at 134.493 86.995) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Reference" "R1" + (at -1.27 -1.397 0) + (layer "F.SilkS") + (uuid "825af695-b147-4db9-b285-6ac220eba2fd") + (effects + (font + (size 0.8 0.8) + (thickness 0.13) + ) + ) + ) + (property "Value" "33" + (at 0 1.65 0) + (layer "F.Fab") + (uuid "95043650-900a-482e-9bc0-690a6075a605") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6b374e15-2e3e-4bd3-8f59-80c98fd5060f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4cb0918e-dcf8-4a7b-9c57-adfe7697850f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c4406fed-3b2b-412a-9135-d90353e52f76") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/ebf2142d-c68f-447e-811e-caadd5347cf2") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "faa1739c-dd11-4b88-ada1-3191efcefdfc") + ) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8a575e86-9944-42ab-b87c-a4f19efc1c9b") + ) + (fp_line + (start -1.68 -0.95) + (end 1.68 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9138c55d-0095-476e-930d-7b8c875aa1be") + ) + (fp_line + (start -1.68 0.95) + (end -1.68 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cc964f11-3a20-42e9-8760-46b2e972f187") + ) + (fp_line + (start 1.68 -0.95) + (end 1.68 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "db94494b-6e29-416d-a1d7-969039fdb9c9") + ) + (fp_line + (start 1.68 0.95) + (end -1.68 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1c7b6b92-6335-4ac4-9f5a-1aba8e076923") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ed804f41-5808-4a65-9ac9-78e39e8e0582") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ba9f5c35-643a-4707-aa00-2707e1e9fdc1") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6ddb5e69-678b-4f82-9791-a5d102afa4df") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3f244746-7fc8-4000-868f-312cf2276338") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "44b2911e-87ed-40b4-a1a9-d8e17464724e") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -0.9125 0) + (size 1.025 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.243902) + (net 47 "/TX-") + (pintype "passive") + (uuid "1b11d083-ee81-4657-8553-408ac1b33cdb") + ) + (pad "2" smd roundrect + (at 0.9125 0) + (size 1.025 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.243902) + (net 26 "/LV_TX-") + (pintype "passive") + (uuid "0a481e59-8ebc-4533-bb67-a020a00423fd") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_TO_SOT_SMD:SOT-23-5" + (layer "F.Cu") + (uuid "e706d034-6e00-4efa-8437-7d548228e453") + (at 144.907 86.7585 180) + (descr "SOT, 5 Pin (https://www.jedec.org/sites/default/files/docs/Mo-178c.PDF variant AA), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOT TO_SOT_SMD") + (property "Reference" "U5" + (at 0.508 0.0175 180) + (layer "F.SilkS") + (uuid "80ce89f8-d166-4cc5-873a-b8bf728505ef") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "AP2127K-3.3" + (at 0 2.4 180) + (layer "F.Fab") + (uuid "4d00073a-87bf-4039-95a0-fbcc3e9a0ae0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a129727d-487f-4d7f-bffb-1db55ebf77e3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AP2127.pdf" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "29ab9260-a50f-4d37-9f6f-9ebe7f8135f5") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "300mA low dropout linear regulator, shutdown pin, 2.5V-6V input voltage, 3.3V fixed positive output, SOT-23-5" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "13ed54f8-ce71-4f16-9ab8-2e6a0049cbe9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "SOT?23?5*") + (path "/ac2900c4-bddc-485c-b46e-ddd13f5b0b97/986ee8d4-c6c6-4b44-b009-316708cfb9cb") + (sheetname "power") + (sheetfile "power.kicad_sch") + (attr smd) + (fp_line + (start 0 1.56) + (end 0.8 1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ff8f96cf-f5e7-4fdb-8188-555be4014c8e") + ) + (fp_line + (start 0 1.56) + (end -0.8 1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a2228a5e-87a4-4676-9da6-5c3d02856530") + ) + (fp_line + (start 0 -1.56) + (end 0.8 -1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "efabc06e-d2d0-44ad-b4f4-8388504c2a56") + ) + (fp_line + (start 0 -1.56) + (end -0.8 -1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e38fddbc-cdac-499a-9d4f-3f77752b44af") + ) + (fp_poly + (pts + (xy -1.3 -1.51) (xy -1.54 -1.84) (xy -1.06 -1.84) (xy -1.3 -1.51) + ) + (stroke + (width 0.12) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "7c0888a6-7535-4e07-996e-415550aeeeab") + ) + (fp_line + (start 2.05 1.7) + (end 2.05 -1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "05d39c23-ea4a-4ce9-835b-61511b69ed3c") + ) + (fp_line + (start 2.05 -1.7) + (end -2.05 -1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "066c9169-6dbe-4fe6-8630-c3495704c6d0") + ) + (fp_line + (start -2.05 1.7) + (end 2.05 1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5e8d708d-bc6b-4c88-9b2a-50ce9b686703") + ) + (fp_line + (start -2.05 -1.7) + (end -2.05 1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d907d91a-c441-4f63-91ca-e21e6c04e551") + ) + (fp_line + (start 0.8 1.45) + (end -0.8 1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c7bc6857-3437-4277-a00d-1c06e14f8c53") + ) + (fp_line + (start 0.8 -1.45) + (end 0.8 1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2176693b-ccc3-4554-baab-29b4d541caf5") + ) + (fp_line + (start -0.4 -1.45) + (end 0.8 -1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3cc4c8ac-cf09-4dda-b64c-614962179279") + ) + (fp_line + (start -0.8 1.45) + (end -0.8 -1.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c28f7584-74e7-4eea-b26a-06156e2fc06d") + ) + (fp_line + (start -0.8 -1.05) + (end -0.4 -1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "bf2e039b-1e7a-445d-affe-db0ec29221d0") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "145ea326-7025-4e1f-b77c-4b95ee104304") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -1.1375 -0.95 180) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 5 "+5V") + (pinfunction "VIN") + (pintype "power_in") + (uuid "e48bf9d5-32ee-4672-b668-e73755c41c4c") + ) + (pad "2" smd roundrect + (at -1.1375 0 180) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "fbcde448-8ebe-4100-9654-269c89eaa9b9") + ) + (pad "3" smd roundrect + (at -1.1375 0.95 180) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 5 "+5V") + (pinfunction "EN") + (pintype "input") + (uuid "a0c37d47-2c00-45ad-9650-4105fd987e38") + ) + (pad "4" smd roundrect + (at 1.1375 0.95 180) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 8 "unconnected-(U5-NC-Pad4)") + (pinfunction "NC") + (pintype "no_connect") + (uuid "fc8d6068-79c5-47e1-9ff8-6022ec17d952") + ) + (pad "5" smd roundrect + (at 1.1375 -0.95 180) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "+3V3") + (pinfunction "VOUT") + (pintype "power_out") + (uuid "6c13fe4c-35fd-4f3d-b556-f64e9ec661a9") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0603_1608Metric" + (layer "F.Cu") + (uuid "fec6f8e1-b679-4c4f-b59a-c6ca56759e4b") + (at 139.891 92.202) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Reference" "R5" + (at -0.064 0.127 0) + (layer "F.SilkS") + (hide yes) + (uuid "22862c02-5425-4d9b-b362-23c0a2ec824a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1k" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "1de5bb68-bbc9-47c0-8898-ca86e59db6d2") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5c2ef248-5575-4055-ab78-fd259dcc1ca2") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5535a29d-b125-44ea-b209-3809d766ff33") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f9faca60-8f5b-4314-8c6b-0260624a3c35") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/412e9e48-50a6-41f1-9d5d-f9865df6255f") + (sheetname "Root") + (sheetfile "trashernet_soc.kicad_sch") + (attr smd) + (fp_line + (start -0.237258 -0.5225) + (end 0.237258 -0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3be72123-f52b-4604-b3aa-a111c680a842") + ) + (fp_line + (start -0.237258 0.5225) + (end 0.237258 0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7620d08b-289f-4a44-8110-52ae1a7f99f8") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9a763e2e-7e13-4001-9a7c-6f79b06c8f0c") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d4b297a1-0bbe-408d-a7bd-6d054ef3470e") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4a139884-d058-4153-9d95-d812a35498f5") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "69b9b40a-3802-4367-8f76-67ca6944aa42") + ) + (fp_line + (start -0.8 -0.4125) + (end 0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0aa0be81-9ed6-4afe-a654-a525fb990c42") + ) + (fp_line + (start -0.8 0.4125) + (end -0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ba1ff0a3-ed11-498c-b19d-2f8c2af7fff5") + ) + (fp_line + (start 0.8 -0.4125) + (end 0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f35963b9-0e2b-4ec3-a369-92dead1f0321") + ) + (fp_line + (start 0.8 0.4125) + (end -0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f3408ae7-9ffa-45fc-9e67-9f32f3d6de92") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "08f7ca9a-c102-4c07-acb8-9e0a746d60de") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.825 0) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "GND") + (pintype "passive") + (uuid "af083e6e-8bbb-41f8-8eb8-4ab0e13b0960") + ) + (pad "2" smd roundrect + (at 0.825 0) + (size 0.8 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 33 "/LV_RX-") + (pintype "passive") + (uuid "540b38df-b4cb-48c4-8828-e944290cc2a6") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_USB:USB_Micro-B_Amphenol_10104110_Horizontal" + (layer "B.Cu") + (uuid "51117f2a-f8c3-4a70-b931-78b818d66ca3") + (at 141.605 65.532) + (descr "USB Micro-B, horizontal, https://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/10104110.pdf") + (tags "USB Micro B horizontal") + (property "Reference" "J3" + (at 0 3.55 0) + (layer "B.SilkS") + (hide yes) + (uuid "8e3db7a8-581d-44da-bc60-3459c75a5016") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "USB_B_Micro" + (at 0 -5.35 0) + (layer "B.Fab") + (uuid "7c314030-06f8-4b07-98f7-196ea19b3269") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Connector_USB:USB_Micro-B_Amphenol_10104110_Horizontal" + (at 0 0 180) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "2ce097ee-8aaf-4c0a-9391-c6d2c0800a3c") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "7beadb38-9e8c-4a84-9478-81aa5257a1f5") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Description" "USB Micro Type B connector" + (at 0 0 180) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "d05c3523-66e4-479e-9958-42dbcc2c3229") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "USB*") + (path "/a567741c-bfe0-4737-b224-2172f1c1bd58/884d5748-ee81-40e7-9ce5-c9240b9b5555") + (sheetname "USB") + (sheetfile "usb.kicad_sch") + (attr smd) + (fp_line + (start -3.86 -2.55) + (end -3.86 -4.16) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "98cf6ab5-9304-4043-b651-eae13c62362b") + ) + (fp_line + (start -3.86 1.66) + (end -3.86 -0.05) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "7f81e8a0-b2ea-4f63-b9bf-4e120d5bf24d") + ) + (fp_line + (start -1.8 1.66) + (end -3.86 1.66) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "d863b28e-3f0d-4187-a9c0-2b3234c7bbb3") + ) + (fp_line + (start -1.8 2.25) + (end -1.8 1.66) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "92cb24a3-f6ce-4646-8cc7-37c56182d306") + ) + (fp_line + (start 1.8 1.66) + (end 3.86 1.66) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "8db5f5e6-62d2-448a-bf20-521db814b303") + ) + (fp_line + (start 3.86 -4.16) + (end -3.86 -4.16) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "ff4c9089-bfeb-4823-83c5-e712180c4ffe") + ) + (fp_line + (start 3.86 -2.55) + (end 3.86 -4.16) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "03b898ad-ce84-4a61-b50f-55b8f14f7ff9") + ) + (fp_line + (start 3.86 1.66) + (end 3.86 -0.05) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "3bca2211-6ff5-4bae-8214-567b838d1380") + ) + (fp_line + (start -5.4 -4.55) + (end -5.4 2.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "3aa4d8b0-bbf9-4f97-beb7-67397c5d4c8d") + ) + (fp_line + (start -5.4 2.75) + (end 5.4 2.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "a8618406-28dc-4ee2-9a21-434c1601e29a") + ) + (fp_line + (start 5.4 -4.55) + (end -5.4 -4.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "ef5c3238-8cca-4710-a523-a66b559d7dc8") + ) + (fp_line + (start 5.4 2.75) + (end 5.4 -4.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "5a94fd47-be79-4054-9419-51c4bdfecb72") + ) + (fp_line + (start -3.75 -4.05) + (end 3.75 -4.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "938f65c8-35b1-4058-9f33-614ea92bfb0e") + ) + (fp_line + (start -3.75 1.55) + (end -3.75 -4.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "354bb355-5454-4ff8-8616-8e7dba58a986") + ) + (fp_line + (start -1.7 2.25) + (end -0.9 2.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "de9a198a-3185-49a9-8dbc-c3fbe4314bdd") + ) + (fp_line + (start -1.3 1.85) + (end -1.7 2.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "cf2b26b5-34e0-423f-b2f7-5921026abc60") + ) + (fp_line + (start -0.9 2.25) + (end -1.3 1.85) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "749c6f15-2a66-4aed-9032-50a35a7d9d0c") + ) + (fp_line + (start 2.6 -2.75) + (end -2.6 -2.75) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "a8b2b10d-893f-4319-8a4a-be262dc834f9") + ) + (fp_line + (start 3.75 -4.05) + (end 3.75 1.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "831e8ede-0361-4738-92c0-d9db6e49a7b4") + ) + (fp_line + (start 3.75 1.55) + (end -3.75 1.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "6d520220-6d5e-454b-b6f4-14efaeac478f") + ) + (fp_text user "PCB edge" + (at 0 -2.75 0) + (layer "Dwgs.User") + (uuid "b9b84dfb-a82e-446a-b161-408f8d86ad57") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 0.2 0) + (layer "B.Fab") + (uuid "15fa67d6-abd6-4990-bd06-5c8ff42d0375") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd rect + (at -1.3 1.55) + (size 0.4 1.4) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 71 "VBUS") + (pinfunction "VBUS") + (pintype "power_out") + (uuid "27d6e986-5732-4310-8d5a-51620c189356") + ) + (pad "2" smd rect + (at -0.65 1.55) + (size 0.4 1.4) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 55 "/USB/USB_D-") + (pinfunction "D-") + (pintype "bidirectional") + (uuid "3d2f4a14-5fa4-4229-aaff-0449f766290c") + ) + (pad "3" smd rect + (at 0 1.55) + (size 0.4 1.4) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 49 "/USB/USB_D+") + (pinfunction "D+") + (pintype "bidirectional") + (uuid "5ebd2439-08d5-4bef-9a72-76de21b879ed") + ) + (pad "4" smd rect + (at 0.65 1.55) + (size 0.4 1.4) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 51 "unconnected-(J3-ID-Pad4)") + (pinfunction "ID") + (pintype "passive+no_connect") + (uuid "382d8936-a1bc-4b99-9d42-1b8096d07ab0") + ) + (pad "5" smd rect + (at 1.3 1.55) + (size 0.4 1.4) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 3 "GND") + (pinfunction "GND") + (pintype "power_out") + (uuid "0fa521c2-f3e0-4397-9da5-e468d8491d27") + ) + (pad "6" smd rect + (at -3.75 -1.3) + (size 2.3 1.9) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 52 "unconnected-(J3-Shield-Pad6)_0") + (pinfunction "Shield") + (pintype "passive+no_connect") + (uuid "c9341777-737a-4743-b6c8-6a3b2e94e37c") + ) + (pad "6" smd rect + (at -1.15 -1.3) + (size 1.8 1.9) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 50 "unconnected-(J3-Shield-Pad6)") + (pinfunction "Shield") + (pintype "passive+no_connect") + (uuid "a8b2addd-563c-4aab-9b80-623064a9982e") + ) + (pad "6" smd rect + (at 1.15 -1.3) + (size 1.8 1.9) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 54 "unconnected-(J3-Shield-Pad6)_2") + (pinfunction "Shield") + (pintype "passive+no_connect") + (uuid "f1c4a4c3-25b9-4cf7-8c94-852a4ea08579") + ) + (pad "6" smd rect + (at 3.75 -1.3) + (size 2.3 1.9) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 53 "unconnected-(J3-Shield-Pad6)_1") + (pinfunction "Shield") + (pintype "passive+no_connect") + (uuid "d1e986b3-0748-4c1b-9878-2bb14a48e513") + ) + (model "${KICAD8_3DMODEL_DIR}/Connector_USB.3dshapes/USB_Micro-B_Amphenol_10104110_Horizontal.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Symbol:OSHW-Logo2_7.3x6mm_SilkScreen" + (layer "B.Cu") + (uuid "ab236405-caf0-403e-acea-8e8891eb9b10") + (at 141.605 74.168 180) + (descr "Open Source Hardware Symbol") + (tags "Logo Symbol OSHW") + (property "Reference" "REF**" + (at 0 0 180) + (layer "B.SilkS") + (hide yes) + (uuid "bd821609-a06d-443e-9c1f-e46ad16ccb45") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "OSHW-Logo2_7.3x6mm_SilkScreen" + (at 0.75 0 180) + (layer "B.Fab") + (hide yes) + (uuid "d0641aff-b22f-4d6d-be28-5ceaf4d6f31f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Symbol:OSHW-Logo2_7.3x6mm_SilkScreen" + (at 0 0 0) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "d4211482-a402-4e7c-bcff-f35d6aaa9802") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "0cf413f2-b5d8-422e-8e91-a06f98efa311") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "8bedbd8b-3185-4d6b-a578-8b2f3616b1b6") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (attr exclude_from_pos_files exclude_from_bom allow_missing_courtyard) + (fp_poly + (pts + (xy 2.6526 -1.958752) (xy 2.669948 -1.966334) (xy 2.711356 -1.999128) (xy 2.746765 -2.046547) (xy 2.768664 -2.097151) + (xy 2.772229 -2.122098) (xy 2.760279 -2.156927) (xy 2.734067 -2.175357) (xy 2.705964 -2.186516) + (xy 2.693095 -2.188572) (xy 2.686829 -2.173649) (xy 2.674456 -2.141175) (xy 2.669028 -2.126502) + (xy 2.63859 -2.075744) (xy 2.59452 -2.050427) (xy 2.53801 -2.051206) (xy 2.533825 -2.052203) (xy 2.503655 -2.066507) + (xy 2.481476 -2.094393) (xy 2.466327 -2.139287) (xy 2.45725 -2.204615) (xy 2.453286 -2.293804) (xy 2.452914 -2.341261) + (xy 2.45273 -2.416071) (xy 2.451522 -2.467069) (xy 2.448309 -2.499471) (xy 2.442109 -2.518495) (xy 2.43194 -2.529356) + (xy 2.416819 -2.537272) (xy 2.415946 -2.53767) (xy 2.386828 -2.549981) (xy 2.372403 -2.554514) (xy 2.370186 -2.540809) + (xy 2.368289 -2.502925) (xy 2.366847 -2.445715) (xy 2.365998 -2.374027) (xy 2.365829 -2.321565) + (xy 2.366692 -2.220047) (xy 2.37007 -2.143032) (xy 2.377142 -2.086023) (xy 2.389088 -2.044526) (xy 2.40709 -2.014043) + (xy 2.432327 -1.99008) (xy 2.457247 -1.973355) (xy 2.517171 -1.951097) (xy 2.586911 -1.946076) (xy 2.6526 -1.958752) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "1304efeb-fd4c-4db2-8b02-ecc05f877153") + ) + (fp_poly + (pts + (xy -1.283907 -1.92778) (xy -1.237328 -1.954723) (xy -1.204943 -1.981466) (xy -1.181258 -2.009484) + (xy -1.164941 -2.043748) (xy -1.154661 -2.089227) (xy -1.149086 -2.150892) (xy -1.146884 -2.233711) + (xy -1.146629 -2.293246) (xy -1.146629 -2.512391) (xy -1.208314 -2.540044) (xy -1.27 -2.567697) + (xy -1.277257 -2.32767) (xy -1.280256 -2.238028) (xy -1.283402 -2.172962) (xy -1.287299 -2.128026) + (xy -1.292553 -2.09877) (xy -1.299769 -2.080748) (xy -1.30955 -2.069511) (xy -1.312688 -2.067079) + (xy -1.360239 -2.048083) (xy -1.408303 -2.0556) (xy -1.436914 -2.075543) (xy -1.448553 -2.089675) + (xy -1.456609 -2.10822) (xy -1.461729 -2.136334) (xy -1.464559 -2.179173) (xy -1.465744 -2.241895) + (xy -1.465943 -2.307261) (xy -1.465982 -2.389268) (xy -1.467386 -2.447316) (xy -1.472086 -2.486465) + (xy -1.482013 -2.51178) (xy -1.499097 -2.528323) (xy -1.525268 -2.541156) (xy -1.560225 -2.554491) + (xy -1.598404 -2.569007) (xy -1.593859 -2.311389) (xy -1.592029 -2.218519) (xy -1.589888 -2.149889) + (xy -1.586819 -2.100711) (xy -1.582206 -2.066198) (xy -1.575432 -2.041562) (xy -1.565881 -2.022016) + (xy -1.554366 -2.00477) (xy -1.49881 -1.94968) (xy -1.43102 -1.917822) (xy -1.357287 -1.910191) + (xy -1.283907 -1.92778) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "a9f85bc4-64dc-4de3-bc85-bb65f6c8ae0c") + ) + (fp_poly + (pts + (xy 0.529926 -1.949755) (xy 0.595858 -1.974084) (xy 0.649273 -2.017117) (xy 0.670164 -2.047409) + (xy 0.692939 -2.102994) (xy 0.692466 -2.143186) (xy 0.668562 -2.170217) (xy 0.659717 -2.174813) + (xy 0.62153 -2.189144) (xy 0.602028 -2.185472) (xy 0.595422 -2.161407) (xy 0.595086 -2.148114) (xy 0.582992 -2.09921) + (xy 0.551471 -2.064999) (xy 0.507659 -2.048476) (xy 0.458695 -2.052634) (xy 0.418894 -2.074227) + (xy 0.40545 -2.086544) (xy 0.395921 -2.101487) (xy 0.389485 -2.124075) (xy 0.385317 -2.159328) (xy 0.382597 -2.212266) + (xy 0.380502 -2.287907) (xy 0.37996 -2.311857) (xy 0.377981 -2.39379) (xy 0.375731 -2.451455) (xy 0.372357 -2.489608) + (xy 0.367006 -2.513004) (xy 0.358824 -2.526398) (xy 0.346959 -2.534545) (xy 0.339362 -2.538144) + (xy 0.307102 -2.550452) (xy 0.288111 -2.554514) (xy 0.281836 -2.540948) (xy 0.278006 -2.499934) + (xy 0.2766 -2.430999) (xy 0.277598 -2.333669) (xy 0.277908 -2.318657) (xy 0.280101 -2.229859) (xy 0.282693 -2.165019) + (xy 0.286382 -2.119067) (xy 0.291864 -2.086935) (xy 0.299835 -2.063553) (xy 0.310993 -2.043852) + (xy 0.31683 -2.03541) (xy 0.350296 -1.998057) (xy 0.387727 -1.969003) (xy 0.392309 -1.966467) (xy 0.459426 -1.946443) + (xy 0.529926 -1.949755) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "5ab970a4-1465-468a-861c-75789c9794d0") + ) + (fp_poly + (pts + (xy 1.779833 -1.958663) (xy 1.782048 -1.99685) (xy 1.783784 -2.054886) (xy 1.784899 -2.12818) (xy 1.785257 -2.205055) + (xy 1.785257 -2.465196) (xy 1.739326 -2.511127) (xy 1.707675 -2.539429) (xy 1.67989 -2.550893) (xy 1.641915 -2.550168) + (xy 1.62684 -2.548321) (xy 1.579726 -2.542948) (xy 1.540756 -2.539869) (xy 1.531257 -2.539585) (xy 1.499233 -2.541445) + (xy 1.453432 -2.546114) (xy 1.435674 -2.548321) (xy 1.392057 -2.551735) (xy 1.362745 -2.54432) (xy 1.33368 -2.521427) + (xy 1.323188 -2.511127) (xy 1.277257 -2.465196) (xy 1.277257 -1.978602) (xy 1.314226 -1.961758) + (xy 1.346059 -1.949282) (xy 1.364683 -1.944914) (xy 1.369458 -1.958718) (xy 1.373921 -1.997286) + (xy 1.377775 -2.056356) (xy 1.380722 -2.131663) (xy 1.382143 -2.195286) (xy 1.386114 -2.445657) + (xy 1.420759 -2.450556) (xy 1.452268 -2.447131) (xy 1.467708 -2.436041) (xy 1.472023 -2.415308) + (xy 1.475708 -2.371145) (xy 1.478469 -2.309146) (xy 1.480012 -2.234909) (xy 1.480235 -2.196706) + (xy 1.480457 -1.976783) (xy 1.526166 -1.960849) (xy 1.558518 -1.950015) (xy 1.576115 -1.944962) + (xy 1.576623 -1.944914) (xy 1.578388 -1.958648) (xy 1.580329 -1.99673) (xy 1.582282 -2.054482) (xy 1.584084 -2.127227) + (xy 1.585343 -2.195286) (xy 1.589314 -2.445657) (xy 1.6764 -2.445657) (xy 1.680396 -2.21724) (xy 1.684392 -1.988822) + (xy 1.726847 -1.966868) (xy 1.758192 -1.951793) (xy 1.776744 -1.944951) (xy 1.777279 -1.944914) + (xy 1.779833 -1.958663) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "123b6b63-8219-4b16-b25f-f7198620d4eb") + ) + (fp_poly + (pts + (xy -0.624114 -1.851289) (xy -0.619861 -1.910613) (xy -0.614975 -1.945572) (xy -0.608205 -1.96082) + (xy -0.598298 -1.961015) (xy -0.595086 -1.959195) (xy -0.552356 -1.946015) (xy -0.496773 -1.946785) + (xy -0.440263 -1.960333) (xy -0.404918 -1.977861) (xy -0.368679 -2.005861) (xy -0.342187 -2.037549) + (xy -0.324001 -2.077813) (xy -0.312678 -2.131543) (xy -0.306778 -2.203626) (xy -0.304857 -2.298951) + (xy -0.304823 -2.317237) (xy -0.3048 -2.522646) (xy -0.350509 -2.53858) (xy -0.382973 -2.54942) + (xy -0.400785 -2.554468) (xy -0.401309 -2.554514) (xy -0.403063 -2.540828) (xy -0.404556 -2.503076) + (xy -0.405674 -2.446224) (xy -0.406303 -2.375234) (xy -0.4064 -2.332073) (xy -0.406602 -2.246973) + (xy -0.407642 -2.185981) (xy -0.410169 -2.144177) (xy -0.414836 -2.116642) (xy -0.422293 -2.098456) + (xy -0.433189 -2.084698) (xy -0.439993 -2.078073) (xy -0.486728 -2.051375) (xy -0.537728 -2.049375) + (xy -0.583999 -2.071955) (xy -0.592556 -2.080107) (xy -0.605107 -2.095436) (xy -0.613812 -2.113618) + (xy -0.619369 -2.139909) (xy -0.622474 -2.179562) (xy -0.623824 -2.237832) (xy -0.624114 -2.318173) + (xy -0.624114 -2.522646) (xy -0.669823 -2.53858) (xy -0.702287 -2.54942) (xy -0.720099 -2.554468) + (xy -0.720623 -2.554514) (xy -0.721963 -2.540623) (xy -0.723172 -2.501439) (xy -0.724199 -2.4407) + (xy -0.724998 -2.362141) (xy -0.725519 -2.269498) (xy -0.725714 -2.166509) (xy -0.725714 -1.769342) + (xy -0.678543 -1.749444) (xy -0.631371 -1.729547) (xy -0.624114 -1.851289) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "90b22100-9a82-40ff-ae74-fd4311d72d4d") + ) + (fp_poly + (pts + (xy -2.958885 -1.921962) (xy -2.890855 -1.957733) (xy -2.840649 -2.015301) (xy -2.822815 -2.052312) + (xy -2.808937 -2.107882) (xy -2.801833 -2.178096) (xy -2.80116 -2.254727) (xy -2.806573 -2.329552) + (xy -2.81773 -2.394342) (xy -2.834286 -2.440873) (xy -2.839374 -2.448887) (xy -2.899645 -2.508707) + (xy -2.971231 -2.544535) (xy -3.048908 -2.55502) (xy -3.127452 -2.53881) (xy -3.149311 -2.529092) + (xy -3.191878 -2.499143) (xy -3.229237 -2.459433) (xy -3.232768 -2.454397) (xy -3.247119 -2.430124) + (xy -3.256606 -2.404178) (xy -3.26221 -2.370022) (xy -3.264914 -2.321119) (xy -3.265701 -2.250935) + (xy -3.265714 -2.2352) (xy -3.265678 -2.230192) (xy -3.120571 -2.230192) (xy -3.119727 -2.29643) + (xy -3.116404 -2.340386) (xy -3.109417 -2.368779) (xy -3.097584 -2.388325) (xy -3.091543 -2.394857) + (xy -3.056814 -2.41968) (xy -3.023097 -2.418548) (xy -2.989005 -2.397016) (xy -2.968671 -2.374029) + (xy -2.956629 -2.340478) (xy -2.949866 -2.287569) (xy -2.949402 -2.281399) (xy -2.948248 -2.185513) + (xy -2.960312 -2.114299) (xy -2.98543 -2.068194) (xy -3.02344 -2.047635) (xy -3.037008 -2.046514) + (xy -3.072636 -2.052152) (xy -3.097006 -2.071686) (xy -3.111907 -2.109042) (xy -3.119125 -2.16815) + (xy -3.120571 -2.230192) (xy -3.265678 -2.230192) (xy -3.265174 -2.160413) (xy -3.262904 -2.108159) + (xy -3.257932 -2.071949) (xy -3.249287 -2.045299) (xy -3.235995 -2.021722) (xy -3.233057 -2.017338) + (xy -3.183687 -1.958249) (xy -3.129891 -1.923947) (xy -3.064398 -1.910331) (xy -3.042158 -1.909665) + (xy -2.958885 -1.921962) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "2172f839-fd69-4d67-a700-ece36634e335") + ) + (fp_poly + (pts + (xy 3.153595 -1.966966) (xy 3.211021 -2.004497) (xy 3.238719 -2.038096) (xy 3.260662 -2.099064) + (xy 3.262405 -2.147308) (xy 3.258457 -2.211816) (xy 3.109686 -2.276934) (xy 3.037349 -2.310202) + (xy 2.990084 -2.336964) (xy 2.965507 -2.360144) (xy 2.961237 -2.382667) (xy 2.974889 -2.407455) + (xy 2.989943 -2.423886) (xy 3.033746 -2.450235) (xy 3.081389 -2.452081) (xy 3.125145 -2.431546) + (xy 3.157289 -2.390752) (xy 3.163038 -2.376347) (xy 3.190576 -2.331356) (xy 3.222258 -2.312182) + (xy 3.265714 -2.295779) (xy 3.265714 -2.357966) (xy 3.261872 -2.400283) (xy 3.246823 -2.435969) + (xy 3.21528 -2.476943) (xy 3.210592 -2.482267) (xy 3.175506 -2.51872) (xy 3.145347 -2.538283) (xy 3.107615 -2.547283) + (xy 3.076335 -2.55023) (xy 3.020385 -2.550965) (xy 2.980555 -2.54166) (xy 2.955708 -2.527846) (xy 2.916656 -2.497467) + (xy 2.889625 -2.464613) (xy 2.872517 -2.423294) (xy 2.863238 -2.367521) (xy 2.859693 -2.291305) + (xy 2.85941 -2.252622) (xy 2.860372 -2.206247) (xy 2.948007 -2.206247) (xy 2.949023 -2.231126) (xy 2.951556 -2.2352) + (xy 2.968274 -2.229665) (xy 3.004249 -2.215017) (xy 3.052331 -2.19419) (xy 3.062386 -2.189714) (xy 3.123152 -2.158814) + (xy 3.156632 -2.131657) (xy 3.16399 -2.10622) (xy 3.146391 -2.080481) (xy 3.131856 -2.069109) (xy 3.07941 -2.046364) + (xy 3.030322 -2.050122) (xy 2.989227 -2.077884) (xy 2.960758 -2.127152) (xy 2.951631 -2.166257) + (xy 2.948007 -2.206247) (xy 2.860372 -2.206247) (xy 2.861285 -2.162249) (xy 2.868196 -2.095384) + (xy 2.881884 -2.046695) (xy 2.904096 -2.010849) (xy 2.936574 -1.982513) (xy 2.950733 -1.973355) + (xy 3.015053 -1.949507) (xy 3.085473 -1.948006) (xy 3.153595 -1.966966) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "5aec684f-b884-44f2-870d-1e88d4d6f6a9") + ) + (fp_poly + (pts + (xy 1.190117 -2.065358) (xy 1.189933 -2.173837) (xy 1.189219 -2.257287) (xy 1.187675 -2.319704) + (xy 1.185001 -2.365085) (xy 1.180894 -2.397429) (xy 1.175055 -2.420733) (xy 1.167182 -2.438995) + (xy 1.161221 -2.449418) (xy 1.111855 -2.505945) (xy 1.049264 -2.541377) (xy 0.980013 -2.55409) (xy 0.910668 -2.542463) + (xy 0.869375 -2.521568) (xy 0.826025 -2.485422) (xy 0.796481 -2.441276) (xy 0.778655 -2.383462) + (xy 0.770463 -2.306313) (xy 0.769302 -2.249714) (xy 0.769458 -2.245647) (xy 0.870857 -2.245647) + (xy 0.871476 -2.31055) (xy 0.874314 -2.353514) (xy 0.88084 -2.381622) (xy 0.892523 -2.401953) (xy 0.906483 -2.417288) + (xy 0.953365 -2.44689) (xy 1.003701 -2.449419) (xy 1.051276 -2.424705) (xy 1.054979 -2.421356) (xy 1.070783 -2.403935) + (xy 1.080693 -2.383209) (xy 1.086058 -2.352362) (xy 1.088228 -2.304577) (xy 1.088571 -2.251748) + (xy 1.087827 -2.185381) (xy 1.084748 -2.141106) (xy 1.078061 -2.112009) (xy 1.066496 -2.091173) + (xy 1.057013 -2.080107) (xy 1.01296 -2.052198) (xy 0.962224 -2.048843) (xy 0.913796 -2.070159) (xy 0.90445 -2.078073) + (xy 0.88854 -2.095647) (xy 0.87861 -2.116587) (xy 0.873278 -2.147782) (xy 0.871163 -2.196122) (xy 0.870857 -2.245647) + (xy 0.769458 -2.245647) (xy 0.77281 -2.158568) (xy 0.784726 -2.090086) (xy 0.807135 -2.0386) (xy 0.842124 -1.998443) + (xy 0.869375 -1.977861) (xy 0.918907 -1.955625) (xy 0.976316 -1.945304) (xy 1.029682 -1.948067) + (xy 1.059543 -1.959212) (xy 1.071261 -1.962383) (xy 1.079037 -1.950557) (xy 1.084465 -1.918866) + (xy 1.088571 -1.870593) (xy 1.093067 -1.816829) (xy 1.099313 -1.784482) (xy 1.110676 -1.765985) + (xy 1.130528 -1.75377) (xy 1.143 -1.748362) (xy 1.190171 -1.728601) (xy 1.190117 -2.065358) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "5f316ef5-7540-47eb-ac9a-292e446caba9") + ) + (fp_poly + (pts + (xy -1.831697 -1.931239) (xy -1.774473 -1.969735) (xy -1.730251 -2.025335) (xy -1.703833 -2.096086) + (xy -1.69849 -2.148162) (xy -1.699097 -2.169893) (xy -1.704178 -2.186531) (xy -1.718145 -2.201437) + (xy -1.745411 -2.217973) (xy -1.790388 -2.239498) (xy -1.857489 -2.269374) (xy -1.857829 -2.269524) + (xy -1.919593 -2.297813) (xy -1.970241 -2.322933) (xy -2.004596 -2.342179) (xy -2.017482 -2.352848) + (xy -2.017486 -2.352934) (xy -2.006128 -2.376166) (xy -1.979569 -2.401774) (xy -1.949077 -2.420221) + (xy -1.93363 -2.423886) (xy -1.891485 -2.411212) (xy -1.855192 -2.379471) (xy -1.837483 -2.344572) + (xy -1.820448 -2.318845) (xy -1.787078 -2.289546) (xy -1.747851 -2.264235) (xy -1.713244 -2.250471) + (xy -1.706007 -2.249714) (xy -1.697861 -2.26216) (xy -1.69737 -2.293972) (xy -1.703357 -2.336866) + (xy -1.714643 -2.382558) (xy -1.73005 -2.422761) (xy -1.730829 -2.424322) (xy -1.777196 -2.489062) + (xy -1.837289 -2.533097) (xy -1.905535 -2.554711) (xy -1.976362 -2.552185) (xy -2.044196 -2.523804) + (xy -2.047212 -2.521808) (xy -2.100573 -2.473448) (xy -2.13566 -2.410352) (xy -2.155078 -2.327387) + (xy -2.157684 -2.304078) (xy -2.162299 -2.194055) (xy -2.156767 -2.142748) (xy -2.017486 -2.142748) + (xy -2.015676 -2.174753) (xy -2.005778 -2.184093) (xy -1.981102 -2.177105) (xy -1.942205 -2.160587) + (xy -1.898725 -2.139881) (xy -1.897644 -2.139333) (xy -1.860791 -2.119949) (xy -1.846 -2.107013) + (xy -1.849647 -2.093451) (xy -1.865005 -2.075632) (xy -1.904077 -2.049845) (xy -1.946154 -2.04795) + (xy -1.983897 -2.066717) (xy -2.009966 -2.102915) (xy -2.017486 -2.142748) (xy -2.156767 -2.142748) + (xy -2.152806 -2.106027) (xy -2.12845 -2.036212) (xy -2.094544 -1.987302) (xy -2.033347 -1.937878) + (xy -1.965937 -1.913359) (xy -1.89712 -1.911797) (xy -1.831697 -1.931239) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "3a5cae56-c934-4901-b858-ca4ce44b25b1") + ) + (fp_poly + (pts + (xy 0.039744 -1.950968) (xy 0.096616 -1.972087) (xy 0.097267 -1.972493) (xy 0.13244 -1.99838) (xy 0.158407 -2.028633) + (xy 0.17667 -2.068058) (xy 0.188732 -2.121462) (xy 0.196096 -2.193651) (xy 0.200264 -2.289432) (xy 0.200629 -2.303078) + (xy 0.205876 -2.508842) (xy 0.161716 -2.531678) (xy 0.129763 -2.54711) (xy 0.11047 -2.554423) (xy 0.109578 -2.554514) + (xy 0.106239 -2.541022) (xy 0.103587 -2.504626) (xy 0.101956 -2.451452) (xy 0.1016 -2.408393) (xy 0.101592 -2.338641) + (xy 0.098403 -2.294837) (xy 0.087288 -2.273944) (xy 0.063501 -2.272925) (xy 0.022296 -2.288741) + (xy -0.039914 -2.317815) (xy -0.085659 -2.341963) (xy -0.109187 -2.362913) (xy -0.116104 -2.385747) + (xy -0.116114 -2.386877) (xy -0.104701 -2.426212) (xy -0.070908 -2.447462) (xy -0.019191 -2.450539) + (xy 0.018061 -2.450006) (xy 0.037703 -2.460735) (xy 0.049952 -2.486505) (xy 0.057002 -2.519337) + (xy 0.046842 -2.537966) (xy 0.043017 -2.540632) (xy 0.007001 -2.55134) (xy -0.043434 -2.552856) + (xy -0.095374 -2.545759) (xy -0.132178 -2.532788) (xy -0.183062 -2.489585) (xy -0.211986 -2.429446) + (xy -0.217714 -2.382462) (xy -0.213343 -2.340082) (xy -0.197525 -2.305488) (xy -0.166203 -2.274763) + (xy -0.115322 -2.24399) (xy -0.040824 -2.209252) (xy -0.036286 -2.207288) (xy 0.030821 -2.176287) + (xy 0.072232 -2.150862) (xy 0.089981 -2.128014) (xy 0.086107 -2.104745) (xy 0.062643 -2.078056) + (xy 0.055627 -2.071914) (xy 0.00863 -2.0481) (xy -0.040067 -2.049103) (xy -0.082478 -2.072451) (xy -0.110616 -2.115675) + (xy -0.113231 -2.12416) (xy -0.138692 -2.165308) (xy -0.170999 -2.185128) (xy -0.217714 -2.20477) + (xy -0.217714 -2.15395) (xy -0.203504 -2.080082) (xy -0.161325 -2.012327) (xy -0.139376 -1.989661) + (xy -0.089483 -1.960569) (xy -0.026033 -1.9474) (xy 0.039744 -1.950968) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "67682b76-d93c-4cfd-a718-495461e2002d") + ) + (fp_poly + (pts + (xy 2.144876 -1.956335) (xy 2.186667 -1.975344) (xy 2.219469 -1.998378) (xy 2.243503 -2.024133) + (xy 2.260097 -2.057358) (xy 2.270577 -2.1028) (xy 2.276271 -2.165207) (xy 2.278507 -2.249327) (xy 2.278743 -2.304721) + (xy 2.278743 -2.520826) (xy 2.241774 -2.53767) (xy 2.212656 -2.549981) (xy 2.198231 -2.554514) (xy 2.195472 -2.541025) + (xy 2.193282 -2.504653) (xy 2.191942 -2.451542) (xy 2.191657 -2.409372) (xy 2.190434 -2.348447) + (xy 2.187136 -2.300115) (xy 2.182321 -2.270518) (xy 2.178496 -2.264229) (xy 2.152783 -2.270652) + (xy 2.112418 -2.287125) (xy 2.065679 -2.309458) (xy 2.020845 -2.333457) (xy 1.986193 -2.35493) (xy 1.970002 -2.369685) + (xy 1.969938 -2.369845) (xy 1.97133 -2.397152) (xy 1.983818 -2.423219) (xy 2.005743 -2.444392) (xy 2.037743 -2.451474) + (xy 2.065092 -2.450649) (xy 2.103826 -2.450042) (xy 2.124158 -2.459116) (xy 2.136369 -2.483092) + (xy 2.137909 -2.487613) (xy 2.143203 -2.521806) (xy 2.129047 -2.542568) (xy 2.092148 -2.552462) + (xy 2.052289 -2.554292) (xy 1.980562 -2.540727) (xy 1.943432 -2.521355) (xy 1.897576 -2.475845) + (xy 1.873256 -2.419983) (xy 1.871073 -2.360957) (xy 1.891629 -2.305953) (xy 1.922549 -2.271486) + (xy 1.95342 -2.252189) (xy 2.001942 -2.227759) (xy 2.058485 -2.202985) (xy 2.06791 -2.199199) (xy 2.130019 -2.171791) + (xy 2.165822 -2.147634) (xy 2.177337 -2.123619) (xy 2.16658 -2.096635) (xy 2.148114 -2.075543) (xy 2.104469 -2.049572) + (xy 2.056446 -2.047624) (xy 2.012406 -2.067637) (xy 1.980709 -2.107551) (xy 1.976549 -2.117848) + (xy 1.952327 -2.155724) (xy 1.916965 -2.183842) (xy 1.872343 -2.206917) (xy 1.872343 -2.141485) + (xy 1.874969 -2.101506) (xy 1.88623 -2.069997) (xy 1.911199 -2.036378) (xy 1.935169 -2.010484) (xy 1.972441 -1.973817) + (xy 2.001401 -1.954121) (xy 2.032505 -1.94622) (xy 2.067713 -1.944914) (xy 2.144876 -1.956335) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "3a5052f4-3214-47d8-bbda-c27d0eabd72f") + ) + (fp_poly + (pts + (xy -2.400256 -1.919918) (xy -2.344799 -1.947568) (xy -2.295852 -1.99848) (xy -2.282371 -2.017338) + (xy -2.267686 -2.042015) (xy -2.258158 -2.068816) (xy -2.252707 -2.104587) (xy -2.250253 -2.156169) + (xy -2.249714 -2.224267) (xy -2.252148 -2.317588) (xy -2.260606 -2.387657) (xy -2.276826 -2.439931) + (xy -2.302546 -2.479869) (xy -2.339503 -2.512929) (xy -2.342218 -2.514886) (xy -2.37864 -2.534908) + (xy -2.422498 -2.544815) (xy -2.478276 -2.547257) (xy -2.568952 -2.547257) (xy -2.56899 -2.635283) + (xy -2.569834 -2.684308) (xy -2.574976 -2.713065) (xy -2.588413 -2.730311) (xy -2.614142 -2.744808) + (xy -2.620321 -2.747769) (xy -2.649236 -2.761648) (xy -2.671624 -2.770414) (xy -2.688271 -2.771171) + (xy -2.699964 -2.761023) (xy -2.70749 -2.737073) (xy -2.711634 -2.696426) (xy -2.713185 -2.636186) + (xy -2.712929 -2.553455) (xy -2.711651 -2.445339) (xy -2.711252 -2.413) (xy -2.709815 -2.301524) + (xy -2.708528 -2.228603) (xy -2.569029 -2.228603) (xy -2.568245 -2.290499) (xy -2.56476 -2.330997) + (xy -2.556876 -2.357708) (xy -2.542895 -2.378244) (xy -2.533403 -2.38826) (xy -2.494596 -2.417567) + (xy -2.460237 -2.419952) (xy -2.424784 -2.39575) (xy -2.423886 -2.394857) (xy -2.409461 -2.376153) + (xy -2.400687 -2.350732) (xy -2.396261 -2.311584) (xy -2.394882 -2.251697) (xy -2.394857 -2.23843) + (xy -2.398188 -2.155901) (xy -2.409031 -2.098691) (xy -2.42866 -2.063766) (xy -2.45835 -2.048094) + (xy -2.475509 -2.046514) (xy -2.516234 -2.053926) (xy -2.544168 -2.07833) (xy -2.560983 -2.12298) + (xy -2.56835 -2.19113) (xy -2.569029 -2.228603) (xy -2.708528 -2.228603) (xy -2.708292 -2.215245) + (xy -2.706323 -2.150333) (xy -2.70355 -2.102958) (xy -2.699612 -2.06929) (xy -2.694151 -2.045498) + (xy -2.686808 -2.027753) (xy -2.677223 -2.012224) (xy -2.673113 -2.006381) (xy -2.618595 -1.951185) + (xy -2.549664 -1.91989) (xy -2.469928 -1.911165) (xy -2.400256 -1.919918) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "a71e5686-db13-46d8-97fd-685e7fbf1e72") + ) + (fp_poly + (pts + (xy 0.10391 2.757652) (xy 0.182454 2.757222) (xy 0.239298 2.756058) (xy 0.278105 2.753793) (xy 0.302538 2.75006) + (xy 0.316262 2.744494) (xy 0.32294 2.736727) (xy 0.326236 2.726395) (xy 0.326556 2.725057) (xy 0.331562 2.700921) + (xy 0.340829 2.653299) (xy 0.353392 2.587259) (xy 0.368287 2.507872) (xy 0.384551 2.420204) (xy 0.385119 2.417125) + (xy 0.40141 2.331211) (xy 0.416652 2.255304) (xy 0.429861 2.193955) (xy 0.440054 2.151718) (xy 0.446248 2.133145) + (xy 0.446543 2.132816) (xy 0.464788 2.123747) (xy 0.502405 2.108633) (xy 0.551271 2.090738) (xy 0.551543 2.090642) + (xy 0.613093 2.067507) (xy 0.685657 2.038035) (xy 0.754057 2.008403) (xy 0.757294 2.006938) (xy 0.868702 1.956374) + (xy 1.115399 2.12484) (xy 1.191077 2.176197) (xy 1.259631 2.222111) (xy 1.317088 2.25997) (xy 1.359476 2.287163) + (xy 1.382825 2.301079) (xy 1.385042 2.302111) (xy 1.40201 2.297516) (xy 1.433701 2.275345) (xy 1.481352 2.234553) + (xy 1.546198 2.174095) (xy 1.612397 2.109773) (xy 1.676214 2.046388) (xy 1.733329 1.988549) (xy 1.780305 1.939825) + (xy 1.813703 1.90379) (xy 1.830085 1.884016) (xy 1.830694 1.882998) (xy 1.832505 1.869428) (xy 1.825683 1.847267) + (xy 1.80854 1.813522) (xy 1.779393 1.7652) (xy 1.736555 1.699308) (xy 1.679448 1.614483) (xy 1.628766 1.539823) + (xy 1.583461 1.47286) (xy 1.54615 1.417484) (xy 1.519452 1.37758) (xy 1.505985 1.357038) (xy 1.505137 1.355644) + (xy 1.506781 1.335962) (xy 1.519245 1.297707) (xy 1.540048 1.248111) (xy 1.547462 1.232272) (xy 1.579814 1.16171) + (xy 1.614328 1.081647) (xy 1.642365 1.012371) (xy 1.662568 0.960955) (xy 1.678615 0.921881) (xy 1.687888 0.901459) + (xy 1.689041 0.899886) (xy 1.706096 0.897279) (xy 1.746298 0.890137) (xy 1.804302 0.879477) (xy 1.874763 0.866315) + (xy 1.952335 0.851667) (xy 2.031672 0.836551) (xy 2.107431 0.821982) (xy 2.174264 0.808978) (xy 2.226828 0.798555) + (xy 2.259776 0.79173) (xy 2.267857 0.789801) (xy 2.276205 0.785038) (xy 2.282506 0.774282) (xy 2.287045 0.753902) + (xy 2.290104 0.720266) (xy 2.291967 0.669745) (xy 2.292918 0.598708) (xy 2.29324 0.503524) (xy 2.293257 0.464508) + (xy 2.293257 0.147201) (xy 2.217057 0.132161) (xy 2.174663 0.124005) (xy 2.1114 0.112101) (xy 2.034962 0.097884) + (xy 1.953043 0.08279) (xy 1.9304 0.078645) (xy 1.854806 0.063947) (xy 1.788953 0.049495) (xy 1.738366 0.036625) + (xy 1.708574 0.026678) (xy 1.703612 0.023713) (xy 1.691426 0.002717) (xy 1.673953 -0.037967) (xy 1.654577 -0.090322) + (xy 1.650734 -0.1016) (xy 1.625339 -0.171523) (xy 1.593817 -0.250418) (xy 1.562969 -0.321266) (xy 1.562817 -0.321595) + (xy 1.511447 -0.432733) (xy 1.680399 -0.681253) (xy 1.849352 -0.929772) (xy 1.632429 -1.147058) + (xy 1.566819 -1.211726) (xy 1.506979 -1.268733) (xy 1.456267 -1.315033) (xy 1.418046 -1.347584) + (xy 1.395675 -1.363343) (xy 1.392466 -1.364343) (xy 1.373626 -1.356469) (xy 1.33518 -1.334578) (xy 1.28133 -1.301267) + (xy 1.216276 -1.259131) (xy 1.14594 -1.211943) (xy 1.074555 -1.16381) (xy 1.010908 -1.121928) (xy 0.959041 -1.088871) + (xy 0.922995 -1.067218) (xy 0.906867 -1.059543) (xy 0.887189 -1.066037) (xy 0.849875 -1.08315) (xy 0.802621 -1.107326) + (xy 0.797612 -1.110013) (xy 0.733977 -1.141927) (xy 0.690341 -1.157579) (xy 0.663202 -1.157745) + (xy 0.649057 -1.143204) (xy 0.648975 -1.143) (xy 0.641905 -1.125779) (xy 0.625042 -1.084899) (xy 0.599695 -1.023525) + (xy 0.567171 -0.944819) (xy 0.528778 -0.851947) (xy 0.485822 -0.748072) (xy 0.444222 -0.647502) + (xy 0.398504 -0.536516) (xy 0.356526 -0.433703) (xy 0.319548 -0.342215) (xy 0.288827 -0.265201) + (xy 0.265622 -0.205815) (xy 0.25119 -0.167209) (xy 0.246743 -0.1528) (xy 0.257896 -0.136272) (xy 0.287069 -0.10993) + (xy 0.325971 -0.080887) (xy 0.436757 0.010961) (xy 0.523351 0.116241) (xy 0.584716 0.232734) (xy 0.619815 0.358224) + (xy 0.627608 0.490493) (xy 0.621943 0.551543) (xy 0.591078 0.678205) (xy 0.53792 0.790059) (xy 0.465767 0.885999) + (xy 0.377917 0.964924) (xy 0.277665 1.02573) (xy 0.16831 1.067313) (xy 0.053147 1.088572) (xy -0.064525 1.088401) + (xy -0.18141 1.065699) (xy -0.294211 1.019362) (xy -0.399631 0.948287) (xy -0.443632 0.908089) (xy -0.528021 0.804871) + (xy -0.586778 0.692075) (xy -0.620296 0.57299) (xy -0.628965 0.450905) (xy -0.613177 0.329107) (xy -0.573322 0.210884) + (xy -0.509793 0.099525) (xy -0.422979 -0.001684) (xy -0.325971 -0.080887) (xy -0.285563 -0.111162) + (xy -0.257018 -0.137219) (xy -0.246743 -0.152825) (xy -0.252123 -0.169843) (xy -0.267425 -0.2105) + (xy -0.291388 -0.271642) (xy -0.322756 -0.350119) (xy -0.360268 -0.44278) (xy -0.402667 -0.546472) + (xy -0.444337 -0.647526) (xy -0.49031 -0.758607) (xy -0.532893 -0.861541) (xy -0.570779 -0.953165) + (xy -0.60266 -1.030316) (xy -0.627229 -1.089831) (xy -0.64318 -1.128544) (xy -0.64909 -1.143) (xy -0.663052 -1.157685) + (xy -0.69006 -1.157642) (xy -0.733587 -1.142099) (xy -0.79711 -1.110284) (xy -0.797612 -1.110013) + (xy -0.84544 -1.085323) (xy -0.884103 -1.067338) (xy -0.905905 -1.059614) (xy -0.906867 -1.059543) + (xy -0.923279 -1.067378) (xy -0.959513 -1.089165) (xy -1.011526 -1.122328) (xy -1.075275 -1.164291) + (xy -1.14594 -1.211943) (xy -1.217884 -1.260191) (xy -1.282726 -1.302151) (xy -1.336265 -1.335227) + (xy -1.374303 -1.356821) (xy -1.392467 -1.364343) (xy -1.409192 -1.354457) (xy -1.44282 -1.326826) + (xy -1.48999 -1.284495) (xy -1.547342 -1.230505) (xy -1.611516 -1.167899) (xy -1.632503 -1.146983) + (xy -1.849501 -0.929623) (xy -1.684332 -0.68722) (xy -1.634136 -0.612781) (xy -1.590081 -0.545972) + (xy -1.554638 -0.490665) (xy -1.530281 -0.450729) (xy -1.519478 -0.430036) (xy -1.519162 -0.428563) + (xy -1.524857 -0.409058) (xy -1.540174 -0.369822) (xy -1.562463 -0.31743) (xy -1.578107 -0.282355) + (xy -1.607359 -0.215201) (xy -1.634906 -0.147358) (xy -1.656263 -0.090034) (xy -1.662065 -0.072572) + (xy -1.678548 -0.025938) (xy -1.69466 0.010095) (xy -1.70351 0.023713) (xy -1.72304 0.032048) (xy -1.765666 0.043863) + (xy -1.825855 0.057819) (xy -1.898078 0.072578) (xy -1.9304 0.078645) (xy -2.012478 0.093727) (xy -2.091205 0.108331) + (xy -2.158891 0.12102) (xy -2.20784 0.130358) (xy -2.217057 0.132161) (xy -2.293257 0.147201) (xy -2.293257 0.464508) + (xy -2.293086 0.568846) (xy -2.292384 0.647787) (xy -2.290866 0.704962) (xy -2.288251 0.744001) + (xy -2.284254 0.768535) (xy -2.278591 0.782195) (xy -2.27098 0.788611) (xy -2.267857 0.789801) (xy -2.249022 0.79402) + (xy -2.207412 0.802438) (xy -2.14837 0.814039) (xy -2.077243 0.827805) (xy -1.999375 0.84272) (xy -1.920113 0.857768) + (xy -1.844802 0.871931) (xy -1.778787 0.884194) (xy -1.727413 0.893539) (xy -1.696025 0.89895) (xy -1.689041 0.899886) + (xy -1.682715 0.912404) (xy -1.66871 0.945754) (xy -1.649645 0.993623) (xy -1.642366 1.012371) (xy -1.613004 1.084805) + (xy -1.578429 1.16483) (xy -1.547463 1.232272) (xy -1.524677 1.283841) (xy -1.509518 1.326215) (xy -1.504458 1.352166) + (xy -1.505264 1.355644) (xy -1.515959 1.372064) (xy -1.54038 1.408583) (xy -1.575905 1.461313) (xy -1.619913 1.526365) + (xy -1.669783 1.599849) (xy -1.679644 1.614355) (xy -1.737508 1.700296) (xy -1.780044 1.765739) + (xy -1.808946 1.813696) (xy -1.82591 1.84718) (xy -1.832633 1.869205) (xy -1.83081 1.882783) (xy -1.830764 1.882869) + (xy -1.816414 1.900703) (xy -1.784677 1.935183) (xy -1.73899 1.982732) (xy -1.682796 2.039778) (xy -1.619532 2.102745) + (xy -1.612398 2.109773) (xy -1.53267 2.18698) (xy -1.471143 2.24367) (xy -1.426579 2.28089) (xy -1.397743 2.299685) + (xy -1.385042 2.302111) (xy -1.366506 2.291529) (xy -1.328039 2.267084) (xy -1.273614 2.231388) + (xy -1.207202 2.187053) (xy -1.132775 2.136689) (xy -1.115399 2.12484) (xy -0.868703 1.956374) (xy -0.757294 2.006938) + (xy -0.689543 2.036405) (xy -0.616817 2.066041) (xy -0.554297 2.08967) (xy -0.551543 2.090642) (xy -0.50264 2.108543) + (xy -0.464943 2.12368) (xy -0.446575 2.13279) (xy -0.446544 2.132816) (xy -0.440715 2.149283) (xy -0.430808 2.189781) + (xy -0.417805 2.249758) (xy -0.402691 2.32466) (xy -0.386448 2.409936) (xy -0.385119 2.417125) (xy -0.368825 2.504986) + (xy -0.353867 2.58474) (xy -0.341209 2.651319) (xy -0.331814 2.699653) (xy -0.326646 2.724675) (xy -0.326556 2.725057) + (xy -0.323411 2.735701) (xy -0.317296 2.743738) (xy -0.304547 2.749533) (xy -0.2815 2.753453) (xy -0.244491 2.755865) + (xy -0.189856 2.757135) (xy -0.113933 2.757629) (xy -0.013056 2.757714) (xy 0 2.757714) (xy 0.10391 2.757652) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "d58de40d-5a3a-4fb0-bc88-f05078972a96") + ) + ) + (footprint "trashernet_soc:trashernet_logo" + (layer "B.Cu") + (uuid "c97dcea1-e512-4fad-a303-f7c58cc39449") + (at 141.605 97.79 90) + (property "Reference" "G***" + (at 0 0 -90) + (layer "B.SilkS") + (hide yes) + (uuid "39ebbee4-248c-468c-89d6-5a8ebb104dc7") + (effects + (font + (size 1.5 1.5) + (thickness 0.3) + ) + (justify mirror) + ) + ) + (property "Value" "LOGO" + (at 0.75 0 -90) + (layer "B.SilkS") + (hide yes) + (uuid "45900954-d177-4a55-9051-fcca469de515") + (effects + (font + (size 1.5 1.5) + (thickness 0.3) + ) + (justify mirror) + ) + ) + (property "Footprint" "trashernet_soc:trashernet_logo" + (at 0 0 -90) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "c1457a02-c9ce-4a44-a95f-446f86ace8fd") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "34515bee-ad3b-4051-b007-5c7abb90d1fc") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Description" "" + (at 0 0 -90) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "5d6811d8-5e36-4fbf-91c2-c37807b4f834") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_poly + (pts + (xy -10.849762 -2.99674) (xy -10.79588 -3.055733) (xy -10.776511 -3.121359) (xy -10.775758 -3.14264) + (xy -10.783146 -3.224823) (xy -10.808803 -3.287419) (xy -10.857966 -3.33284) (xy -10.935873 -3.363502) + (xy -11.04776 -3.381819) (xy -11.198866 -3.390206) (xy -11.315552 -3.391477) (xy -11.640414 -3.391477) + (xy -11.647054 -4.64433) (xy -11.653693 -5.897183) (xy -11.72118 -5.95522) (xy -11.806946 -6.002034) + (xy -11.9003 -6.009773) (xy -11.985778 -5.977567) (xy -11.995214 -5.97063) (xy -12.043754 -5.916022) + (xy -12.073386 -5.86136) (xy -12.079909 -5.818792) (xy -12.085498 -5.729198) (xy -12.09013 -5.593651) + (xy -12.093785 -5.413222) (xy -12.096441 -5.188983) (xy -12.098078 -4.922006) (xy -12.098672 -4.613362) + (xy -12.098674 -4.592563) (xy -12.098674 -3.391477) (xy -12.421824 -3.391477) (xy -12.546845 -3.389834) + (xy -12.658868 -3.385341) (xy -12.746825 -3.37865) (xy -12.799647 -3.370415) (xy -12.804363 -3.368898) + (xy -12.89472 -3.313901) (xy -12.948579 -3.237537) (xy -12.96347 -3.149806) (xy -12.936925 -3.06071) + (xy -12.894275 -3.004779) (xy -12.823966 -2.93447) (xy -11.873866 -2.93447) (xy -10.923767 -2.93447) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "a2cf73e4-ba79-49f6-b835-b563e9f7bded") + ) + (fp_poly + (pts + (xy -9.004876 -3.824842) (xy -8.850358 -3.827008) (xy -8.730155 -3.832332) (xy -8.637375 -3.842218) + (xy -8.565121 -3.858067) (xy -8.506501 -3.881283) (xy -8.45462 -3.913268) (xy -8.402583 -3.955426) + (xy -8.357853 -3.995901) (xy -8.242665 -4.124663) (xy -8.170531 -4.262189) (xy -8.136673 -4.415427) + (xy -8.131307 -4.493092) (xy -8.139425 -4.543094) (xy -8.166974 -4.585282) (xy -8.19701 -4.616809) + (xy -8.283247 -4.675813) (xy -8.372519 -4.691535) (xy -8.455922 -4.667295) (xy -8.524549 -4.606413) + (xy -8.569496 -4.512207) (xy -8.577516 -4.474985) (xy -8.613786 -4.373995) (xy -8.664094 -4.317001) + (xy -8.693524 -4.293983) (xy -8.723811 -4.277808) (xy -8.76364 -4.267269) (xy -8.821693 -4.261164) + (xy -8.906656 -4.258286) (xy -9.027212 -4.257432) (xy -9.093891 -4.257386) (xy -9.452841 -4.257386) + (xy -9.452841 -5.065013) (xy -9.452841 -5.87264) (xy -9.52315 -5.942949) (xy -9.606914 -6.000483) + (xy -9.69443 -6.01014) (xy -9.784419 -5.971884) (xy -9.811791 -5.950987) (xy -9.885796 -5.888716) + (xy -9.885796 -4.915613) (xy -9.885796 -3.94251) (xy -9.826756 -3.883471) (xy -9.767717 -3.824432) + (xy -9.200605 -3.824432) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "ddb1121b-fa54-493e-9823-0b64c8a5b3d4") + ) + (fp_poly + (pts + (xy 4.162647 -3.824965) (xy 4.299159 -3.826091) (xy 4.401924 -3.82865) (xy 4.478123 -3.833246) (xy 4.534933 -3.840485) + (xy 4.579536 -3.850971) (xy 4.619109 -3.865309) (xy 4.654261 -3.881025) (xy 4.770604 -3.951298) + (xy 4.867888 -4.04081) (xy 4.944854 -4.143235) (xy 5.000243 -4.252246) (xy 5.032793 -4.361515) (xy 5.041245 -4.464715) + (xy 5.024339 -4.555518) (xy 4.980815 -4.627599) (xy 4.909413 -4.674628) (xy 4.815431 -4.690341) + (xy 4.723548 -4.668284) (xy 4.651356 -4.607543) (xy 4.607542 -4.516266) (xy 4.602149 -4.490314) + (xy 4.566211 -4.386749) (xy 4.519808 -4.323684) (xy 4.453511 -4.257386) (xy 4.090865 -4.257386) + (xy 3.72822 -4.257386) (xy 3.72822 -5.065013) (xy 3.72822 -5.87264) (xy 3.657911 -5.942949) (xy 3.574485 -5.998956) + (xy 3.48476 -6.013437) (xy 3.400035 -5.985611) (xy 3.370486 -5.962842) (xy 3.322523 -5.905272) (xy 3.293791 -5.853037) + (xy 3.288512 -5.815552) (xy 3.28369 -5.735593) (xy 3.279464 -5.618792) (xy 3.275967 -5.470781) (xy 3.273337 -5.297191) + (xy 3.271709 -5.103654) (xy 3.271212 -4.916506) (xy 3.271527 -4.669209) (xy 3.272804 -4.465458) + (xy 3.275543 -4.300696) (xy 3.280241 -4.170365) (xy 3.287397 -4.069908) (xy 3.297511 -3.994767) + (xy 3.31108 -3.940385) (xy 3.328604 -3.902205) (xy 3.350581 -3.875668) (xy 3.37751 -3.856218) (xy 3.38786 -3.850421) + (xy 3.430405 -3.841153) (xy 3.517878 -3.833812) (xy 3.647131 -3.828534) (xy 3.815018 -3.825455) + (xy 3.985208 -3.824667) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "ffe10501-9a72-41d1-be28-296411fc4cb1") + ) + (fp_poly + (pts + (xy 6.390944 -3.82496) (xy 6.622634 -3.825316) (xy 6.811728 -3.826681) (xy 6.963734 -3.83001) (xy 7.084163 -3.83626) + (xy 7.178524 -3.846387) (xy 7.252326 -3.861347) (xy 7.311079 -3.882096) (xy 7.360293 -3.90959) (xy 7.405476 -3.944786) + (xy 7.452139 -3.988639) (xy 7.477084 -4.013448) (xy 7.524752 -4.061276) (xy 7.563374 -4.103393) + (xy 7.593947 -4.145326) (xy 7.617472 -4.192601) (xy 7.634947 -4.250746) (xy 7.647373 -4.325285) + (xy 7.655748 -4.421746) (xy 7.661072 -4.545655) (xy 7.664344 -4.702539) (xy 7.666564 -4.897924) + (xy 7.66811 -5.06982) (xy 7.675329 -5.870227) (xy 7.603814 -5.941742) (xy 7.519261 -6.000934) (xy 7.43334 -6.012202) + (xy 7.348201 -5.975535) (xy 7.310271 -5.942949) (xy 7.239962 -5.87264) (xy 7.239962 -5.162357) (xy 7.239186 -4.927784) + (xy 7.236794 -4.738692) (xy 7.23269 -4.592482) (xy 7.226776 -4.486553) (xy 7.218956 -4.418304) (xy 7.211226 -4.389006) + (xy 7.190333 -4.350011) (xy 7.163554 -4.319538) (xy 7.125146 -4.296546) (xy 7.069363 -4.279997) + (xy 6.99046 -4.268851) (xy 6.882693 -4.262071) (xy 6.740317 -4.258616) (xy 6.557587 -4.257447) (xy 6.483486 -4.257386) + (xy 5.917045 -4.257386) (xy 5.917045 -5.065013) (xy 5.917045 -5.87264) (xy 5.846736 -5.942949) (xy 5.766614 -5.999912) + (xy 5.700568 -6.013258) (xy 5.617643 -5.991792) (xy 5.5544 -5.942949) (xy 5.484091 -5.87264) (xy 5.484091 -4.912181) + (xy 5.484091 -3.951722) (xy 5.543075 -3.888077) (xy 5.602059 -3.824432) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "289e1b19-28c4-4c85-bd31-642b64014e20") + ) + (fp_poly + (pts + (xy -2.083125 -2.987756) (xy -2.062927 -3.004597) (xy -1.984375 -3.074724) (xy -1.976669 -3.449578) + (xy -1.968962 -3.824432) (xy -1.35129 -3.82496) (xy -1.158993 -3.825445) (xy -1.008417 -3.8268) + (xy -0.893177 -3.829426) (xy -0.806889 -3.833723) (xy -0.74317 -3.840093) (xy -0.695636 -3.848937) + (xy -0.657904 -3.860655) (xy -0.635833 -3.869902) (xy -0.486165 -3.962896) (xy -0.360261 -4.091188) + (xy -0.293105 -4.195089) (xy -0.228504 -4.317519) (xy -0.220822 -5.026446) (xy -0.219367 -5.210491) + (xy -0.219028 -5.382363) (xy -0.21974 -5.53519) (xy -0.221436 -5.662097) (xy -0.22405 -5.756213) + (xy -0.227516 -5.810664) (xy -0.228416 -5.816796) (xy -0.266456 -5.911541) (xy -0.332825 -5.97683) + (xy -0.416744 -6.008579) (xy -0.507431 -6.002707) (xy -0.593971 -5.955246) (xy -0.661458 -5.897234) + (xy -0.673485 -5.135318) (xy -0.685512 -4.373402) (xy -0.752999 -4.315394) (xy -0.77521 -4.297186) + (xy -0.798289 -4.283252) (xy -0.828579 -4.273022) (xy -0.872426 -4.265922) (xy -0.936174 -4.261382) + (xy -1.026167 -4.258829) (xy -1.148751 -4.257691) (xy -1.310271 -4.257396) (xy -1.396417 -4.257386) + (xy -1.972349 -4.257386) (xy -1.972349 -5.023494) (xy -1.973206 -5.260945) (xy -1.975722 -5.46349) + (xy -1.97981 -5.628336) (xy -1.985385 -5.75269) (xy -1.992359 -5.833759) (xy -1.998201 -5.863763) + (xy -2.049506 -5.948664) (xy -2.125067 -5.998832) (xy -2.213438 -6.011778) (xy -2.303176 -5.985012) + (xy -2.359612 -5.942384) (xy -2.430485 -5.871511) (xy -2.423908 -4.46103) (xy -2.41733 -3.050549) + (xy -2.349843 -2.992509) (xy -2.262861 -2.943007) (xy -2.173573 -2.941439) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "163a5393-0146-4d86-a638-cdacc4971a26") + ) + (fp_poly + (pts + (xy 9.920408 1.876202) (xy 10.228009 1.875781) (xy 10.499259 1.874946) (xy 10.73651 1.873583) (xy 10.942113 1.871573) + (xy 11.118419 1.8688) (xy 11.26778 1.865147) (xy 11.392545 1.860498) (xy 11.495068 1.854735) (xy 11.577698 1.847742) + (xy 11.642787 1.839402) (xy 11.692685 1.829598) (xy 11.729745 1.818213) (xy 11.756317 1.805131) + (xy 11.774751 1.790234) (xy 11.787401 1.773407) (xy 11.796615 1.754531) (xy 11.804746 1.733491) + (xy 11.814145 1.710169) (xy 11.818746 1.700257) (xy 11.845967 1.63523) (xy 11.84955 1.585059) (xy 11.831251 1.523747) + (xy 11.830756 1.522443) (xy 11.789703 1.449525) (xy 11.734314 1.388902) (xy 11.73009 1.385633) (xy 11.662201 1.334943) + (xy 8.302549 1.329695) (xy 7.819981 1.329009) (xy 7.383343 1.328547) (xy 6.99046 1.328324) (xy 6.639157 1.328357) + (xy 6.327258 1.328665) (xy 6.052587 1.329262) (xy 5.81297 1.330168) (xy 5.60623 1.331397) (xy 5.430193 1.332969) + (xy 5.282684 1.334898) (xy 5.161526 1.337204) (xy 5.064544 1.339901) (xy 4.989564 1.343008) (xy 4.934409 1.346541) + (xy 4.896904 1.350518) (xy 4.874875 1.354955) (xy 4.870738 1.356475) (xy 4.773367 1.418538) (xy 4.718239 1.50145) + (xy 4.702367 1.599526) (xy 4.720823 1.704474) (xy 4.778319 1.784552) (xy 4.875979 1.843376) (xy 4.893842 1.84809) + (xy 4.925529 1.852348) (xy 4.97326 1.856172) (xy 5.039256 1.859583) (xy 5.125738 1.862603) (xy 5.234926 1.865253) + (xy 5.369042 1.867553) (xy 5.530305 1.869527) (xy 5.720937 1.871194) (xy 5.943158 1.872577) (xy 6.199189 1.873696) + (xy 6.491251 1.874573) (xy 6.821564 1.87523) (xy 7.192349 1.875687) (xy 7.605827 1.875966) (xy 8.064218 1.876089) + (xy 8.279483 1.876099) (xy 8.755995 1.87616) (xy 9.186753 1.876275) (xy 9.574107 1.876328) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "86f9786c-67c7-4b4b-9b44-2f9de79af594") + ) + (fp_poly + (pts + (xy 11.627259 0.150995) (xy 11.665944 0.148706) (xy 11.687657 0.145046) (xy 11.690181 0.144149) + (xy 11.771453 0.087553) (xy 11.821784 0.00584) (xy 11.840656 -0.088882) (xy 11.82755 -0.184502) + (xy 11.781949 -0.26891) (xy 11.710325 -0.326526) (xy 11.68467 -0.333896) (xy 11.633759 -0.341822) + (xy 11.555225 -0.350466) (xy 11.446699 -0.35999) (xy 11.305811 -0.370555) (xy 11.130194 -0.382323) + (xy 10.917479 -0.395455) (xy 10.665296 -0.410114) (xy 10.371277 -0.42646) (xy 10.033053 -0.444656) + (xy 9.797259 -0.457087) (xy 9.456717 -0.474932) (xy 9.094945 -0.493903) (xy 8.72027 -0.513564) (xy 8.341019 -0.533478) + (xy 7.965518 -0.553206) (xy 7.602093 -0.572312) (xy 7.259072 -0.590357) (xy 6.944781 -0.606904) + (xy 6.667546 -0.621516) (xy 6.588234 -0.625701) (xy 6.33058 -0.639128) (xy 6.082367 -0.651739) (xy 5.848661 -0.663297) + (xy 5.634531 -0.673567) (xy 5.445043 -0.682314) (xy 5.285266 -0.6893) (xy 5.160266 -0.694291) (xy 5.075111 -0.697051) + (xy 5.044677 -0.697538) (xy 4.949783 -0.696156) (xy 4.888293 -0.689183) (xy 4.845523 -0.67238) (xy 4.806791 -0.641504) + (xy 4.788403 -0.623529) (xy 4.730102 -0.535913) (xy 4.711396 -0.438726) (xy 4.729575 -0.343457) + (xy 4.781931 -0.261594) (xy 4.865754 -0.204624) (xy 4.889154 -0.196264) (xy 4.9446 -0.185465) (xy 5.034733 -0.174504) + (xy 5.146161 -0.164796) (xy 5.24356 -0.158788) (xy 5.302261 -0.155809) (xy 5.405793 -0.15049) (xy 5.550947 -0.142999) + (xy 5.734515 -0.133503) (xy 5.953288 -0.122167) (xy 6.204056 -0.109158) (xy 6.483611 -0.094643) + (xy 6.788743 -0.078789) (xy 7.116243 -0.061762) (xy 7.462903 -0.043729) (xy 7.825512 -0.024857) + (xy 8.200863 -0.005311) (xy 8.570472 0.013945) (xy 9.031681 0.037893) (xy 9.447077 0.059268) (xy 9.818877 0.078161) + (xy 10.149302 0.094665) (xy 10.440568 0.108874) (xy 10.694896 0.120879) (xy 10.914503 0.130773) + (xy 11.101608 0.138649) (xy 11.25843 0.144599) (xy 11.387188 0.148716) (xy 11.490099 0.151093) (xy 11.569383 0.151822) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "ff5fd86d-97cb-4636-90a2-bfbb5841a060") + ) + (fp_poly + (pts + (xy 5.17195 3.891485) (xy 5.295819 3.887877) (xy 5.447686 3.881956) (xy 5.630755 3.873706) (xy 5.84823 3.86311) + (xy 6.103316 3.850153) (xy 6.399216 3.834818) (xy 6.739133 3.817088) (xy 6.833993 3.812142) (xy 7.399648 3.782657) + (xy 7.919247 3.755543) (xy 8.394773 3.730684) (xy 8.828212 3.707963) (xy 9.221548 3.687264) (xy 9.576765 3.66847) + (xy 9.895848 3.651464) (xy 10.180783 3.63613) (xy 10.433553 3.622352) (xy 10.656143 3.610012) (xy 10.850538 3.598994) + (xy 11.018723 3.589182) (xy 11.162683 3.580458) (xy 11.284401 3.572707) (xy 11.385862 3.565812) + (xy 11.469052 3.559656) (xy 11.535955 3.554123) (xy 11.588556 3.549095) (xy 11.628839 3.544457) + (xy 11.658788 3.540092) (xy 11.680389 3.535884) (xy 11.695627 3.531715) (xy 11.706485 3.527469) + (xy 11.710062 3.525715) (xy 11.786183 3.462313) (xy 11.829708 3.376005) (xy 11.840644 3.279258) + (xy 11.818995 3.184541) (xy 11.764767 3.104321) (xy 11.709857 3.064709) (xy 11.686052 3.054084) + (xy 11.657207 3.045804) (xy 11.618849 3.039931) (xy 11.566502 3.036524) (xy 11.495692 3.035642) + (xy 11.401943 3.037346) (xy 11.28078 3.041695) (xy 11.127729 3.04875) (xy 10.938314 3.058569) (xy 10.708061 3.071214) + (xy 10.547254 3.080248) (xy 10.410076 3.087866) (xy 10.229635 3.097682) (xy 10.01071 3.109446) (xy 9.758081 3.122906) + (xy 9.476528 3.137809) (xy 9.170831 3.153905) (xy 8.84577 3.170942) (xy 8.506124 3.188669) (xy 8.156674 3.206833) + (xy 7.8022 3.225183) (xy 7.447481 3.243468) (xy 7.396307 3.246099) (xy 7.060467 3.26345) (xy 6.737104 3.280332) + (xy 6.429596 3.296558) (xy 6.141323 3.311943) (xy 5.875665 3.326299) (xy 5.636003 3.339442) (xy 5.425715 3.351184) + (xy 5.248183 3.36134) (xy 5.106784 3.369723) (xy 5.004901 3.376148) (xy 4.945911 3.380428) (xy 4.933265 3.381752) + (xy 4.861237 3.408124) (xy 4.791482 3.455474) (xy 4.782934 3.463555) (xy 4.736158 3.522401) (xy 4.716835 3.588116) + (xy 4.714394 3.640334) (xy 4.721716 3.720667) (xy 4.750768 3.780369) (xy 4.788403 3.822582) (xy 4.804465 3.839053) + (xy 4.819689 3.853358) (xy 4.837281 3.865481) (xy 4.860442 3.875406) (xy 4.892379 3.883117) (xy 4.936294 3.888596) + (xy 4.995391 3.891828) (xy 5.072875 3.892797) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "71885e88-d792-45fb-abaa-01dbddb5cb09") + ) + (fp_poly + (pts + (xy 11.897236 -2.945179) (xy 11.950868 -2.968745) (xy 11.995579 -2.9979) (xy 12.028283 -3.037162) + (xy 12.050757 -3.093827) (xy 12.064778 -3.175187) (xy 12.072121 -3.288537) (xy 12.074564 -3.44117) + (xy 12.074621 -3.476795) (xy 12.074621 -3.824432) (xy 12.448536 -3.824432) (xy 12.590488 -3.824714) + (xy 12.692531 -3.826308) (xy 12.762864 -3.830329) (xy 12.809685 -3.837897) (xy 12.841192 -3.850129) + (xy 12.865581 -3.868142) (xy 12.881491 -3.883471) (xy 12.933005 -3.96524) (xy 12.942748 -4.056525) + (xy 12.911354 -4.144127) (xy 12.866526 -4.195116) (xy 12.836231 -4.219125) (xy 12.806066 -4.236005) + (xy 12.767303 -4.24701) (xy 12.711214 -4.253396) (xy 12.629074 -4.256417) (xy 12.512156 -4.257329) + (xy 12.433571 -4.257386) (xy 12.074621 -4.257386) (xy 12.074621 -4.83624) (xy 12.075754 -5.041685) + (xy 12.079077 -5.209986) (xy 12.084475 -5.338383) (xy 12.091834 -5.424111) (xy 12.10061 -5.463655) + (xy 12.142686 -5.512163) (xy 12.212599 -5.546598) (xy 12.315799 -5.568359) (xy 12.457738 -5.57884) + (xy 12.556002 -5.580303) (xy 12.673634 -5.580975) (xy 12.753521 -5.584245) (xy 12.806017 -5.591989) + (xy 12.841478 -5.606087) (xy 12.870259 -5.628416) (xy 12.881491 -5.639342) (xy 12.933005 -5.721111) + (xy 12.942748 -5.812397) (xy 12.911354 -5.899999) (xy 12.866526 -5.950987) (xy 12.834373 -5.976301) + (xy 12.802485 -5.993539) (xy 12.761331 -6.004158) (xy 12.701384 -6.009617) (xy 12.613113 -6.011375) + (xy 12.486989 -6.010889) (xy 12.475664 -6.010802) (xy 12.349884 -6.008052) (xy 12.235239 -6.002315) + (xy 12.143638 -5.994403) (xy 12.086989 -5.985126) (xy 12.08357 -5.984112) (xy 11.963287 -5.924919) + (xy 11.846579 -5.831372) (xy 11.747475 -5.716073) (xy 11.702226 -5.641553) (xy 11.62964 -5.499725) + (xy 11.622149 -4.878555) (xy 11.614657 -4.257386) (xy 11.473158 -4.257386) (xy 11.349249 -4.242994) + (xy 11.262035 -4.198787) (xy 11.209289 -4.123223) (xy 11.193486 -4.063632) (xy 11.1912 -3.996438) + (xy 11.215163 -3.939645) (xy 11.244542 -3.901274) (xy 11.283805 -3.85915) (xy 11.322268 -3.836093) + (xy 11.37613 -3.826416) (xy 11.461587 -3.824432) (xy 11.463407 -3.824432) (xy 11.617613 -3.824432) + (xy 11.617613 -3.485311) (xy 11.620175 -3.316517) (xy 11.629002 -3.188957) (xy 11.645805 -3.095977) + (xy 11.672294 -3.030919) (xy 11.710182 -2.98713) (xy 11.752196 -2.961855) (xy 11.828637 -2.937316) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "0a52cad5-583d-4928-8cf8-1278b05bf8b9") + ) + (fp_poly + (pts + (xy -2.924582 -3.898436) (xy -2.871899 -3.988919) (xy -2.867141 -4.077314) (xy -2.910272 -4.162341) + (xy -2.93262 -4.187078) (xy -3.002928 -4.257386) (xy -3.740246 -4.257386) (xy -4.477564 -4.257386) + (xy -4.547873 -4.327695) (xy -4.604836 -4.407818) (xy -4.618182 -4.473864) (xy -4.596717 -4.556789) + (xy -4.547873 -4.620032) (xy -4.477564 -4.690341) (xy -3.96294 -4.690341) (xy -3.757338 -4.691369) + (xy -3.592949 -4.695479) (xy -3.462905 -4.704216) (xy -3.360337 -4.719119) (xy -3.278376 -4.741733) + (xy -3.210155 -4.773599) (xy -3.148805 -4.816258) (xy -3.087458 -4.871254) (xy -3.066879 -4.891537) + (xy -2.955939 -5.032469) (xy -2.888719 -5.184918) (xy -2.864161 -5.342826) (xy -2.881205 -5.500133) + (xy -2.938793 -5.650782) (xy -3.035863 -5.788715) (xy -3.171358 -5.907872) (xy -3.253497 -5.958689) + (xy -3.279474 -5.970551) (xy -3.31299 -5.980137) (xy -3.359351 -5.987754) (xy -3.423863 -5.993708) + (xy -3.511831 -5.998304) (xy -3.628561 -6.001849) (xy -3.779358 -6.00465) (xy -3.969528 -6.007011) + (xy -4.115807 -6.008447) (xy -4.900268 -6.015663) (xy -4.975702 -5.95219) (xy -5.02868 -5.896129) + (xy -5.049279 -5.834799) (xy -5.051137 -5.797307) (xy -5.036685 -5.710285) (xy -5.0052 -5.649114) + (xy -4.959263 -5.59233) (xy -4.201316 -5.580303) (xy -3.990556 -5.576887) (xy -3.822556 -5.573765) + (xy -3.691977 -5.570493) (xy -3.59348 -5.566627) (xy -3.521724 -5.561722) (xy -3.47137 -5.555333) + (xy -3.437078 -5.547017) (xy -3.413508 -5.536329) (xy -3.395321 -5.522824) (xy -3.383785 -5.512258) + (xy -3.33871 -5.456444) (xy -3.313533 -5.400432) (xy -3.313456 -5.400037) (xy -3.316724 -5.326636) + (xy -3.344865 -5.249052) (xy -3.388683 -5.18921) (xy -3.407572 -5.175661) (xy -3.443548 -5.168517) + (xy -3.520182 -5.161446) (xy -3.63003 -5.154855) (xy -3.765643 -5.14915) (xy -3.919578 -5.144737) + (xy -4.004842 -5.143081) (xy -4.182176 -5.140041) (xy -4.318518 -5.136921) (xy -4.420978 -5.132955) + (xy -4.496666 -5.127374) (xy -4.552692 -5.11941) (xy -4.596164 -5.108295) (xy -4.634192 -5.093261) + (xy -4.673887 -5.07354) (xy -4.68048 -5.070101) (xy -4.832645 -4.966103) (xy -4.946359 -4.834804) + (xy -5.019477 -4.679671) (xy -5.04985 -4.504169) (xy -5.050608 -4.472573) (xy -5.028501 -4.298466) + (xy -4.963322 -4.141676) (xy -4.858603 -4.007596) (xy -4.717879 -3.90162) (xy -4.655834 -3.869902) + (xy -4.625122 -3.857601) (xy -4.58936 -3.847778) (xy -4.543066 -3.840152) (xy -4.480761 -3.834445) + (xy -4.396964 -3.830379) (xy -4.286194 -3.827674) (xy -4.142971 -3.826051) (xy -3.961815 -3.825233) + (xy -3.772451 -3.82496) (xy -2.986852 -3.824432) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "bbc70b06-cb9c-4df9-8aef-42598ce91731") + ) + (fp_poly + (pts + (xy 9.396595 -3.825084) (xy 9.539607 -3.825991) (xy 9.648178 -3.828166) (xy 9.72914 -3.832152) (xy 9.789326 -3.838492) + (xy 9.835569 -3.847727) (xy 9.874701 -3.860401) (xy 9.913554 -3.877057) (xy 9.922791 -3.881331) + (xy 10.046653 -3.960143) (xy 10.157798 -4.069653) (xy 10.24354 -4.195484) (xy 10.282782 -4.289318) + (xy 10.302757 -4.391453) (xy 10.314961 -4.53364) (xy 10.31875 -4.694327) (xy 10.318323 -4.815454) + (xy 10.315702 -4.898859) (xy 10.308877 -4.954926) (xy 10.295838 -4.994039) (xy 10.274574 -5.026582) + (xy 10.24859 -5.05677) (xy 10.17843 -5.135322) (xy 9.370654 -5.142257) (xy 8.562879 -5.149192) (xy 8.562879 -5.282143) + (xy 8.565947 -5.369059) (xy 8.578573 -5.437322) (xy 8.605888 -5.489161) (xy 8.653022 -5.526805) + (xy 8.725107 -5.552483) (xy 8.827272 -5.568423) (xy 8.96465 -5.576856) (xy 9.14237 -5.580009) (xy 9.249199 -5.580303) + (xy 9.426053 -5.58168) (xy 9.571535 -5.585657) (xy 9.680982 -5.591999) (xy 9.749729 -5.600475) (xy 9.769148 -5.606292) + (xy 9.811199 -5.64565) (xy 9.848731 -5.706816) (xy 9.851594 -5.71338) (xy 9.870742 -5.807525) (xy 9.844083 -5.893878) + (xy 9.786521 -5.962842) (xy 9.766896 -5.979128) (xy 9.74332 -5.991443) (xy 9.709337 -6.000315) (xy 9.658492 -6.00627) + (xy 9.584332 -6.009835) (xy 9.480401 -6.011537) (xy 9.340244 -6.011903) (xy 9.189735 -6.011563) + (xy 8.993226 -6.010071) (xy 8.839265 -6.00676) (xy 8.722317 -6.001316) (xy 8.636847 -5.993426) (xy 8.577321 -5.982776) + (xy 8.553403 -5.975544) (xy 8.421475 -5.905086) (xy 8.299385 -5.798799) (xy 8.200668 -5.668815) + (xy 8.189361 -5.649196) (xy 8.117898 -5.520171) (xy 8.117898 -4.918845) (xy 8.118256 -4.718159) + (xy 8.120205 -4.558887) (xy 8.120778 -4.544173) (xy 8.562879 -4.544173) (xy 8.562879 -4.690341) + (xy 9.21231 -4.690341) (xy 9.861742 -4.690341) (xy 9.861742 -4.536134) (xy 9.859775 -4.449775) (xy 9.850215 -4.395419) + (xy 9.827573 -4.357013) (xy 9.787738 -4.319657) (xy 9.713733 -4.257386) (xy 9.208615 -4.257386) + (xy 8.703496 -4.257386) (xy 8.633187 -4.327695) (xy 8.59302 -4.373707) (xy 8.571846 -4.420519) (xy 8.563818 -4.486131) + (xy 8.562879 -4.544173) (xy 8.120778 -4.544173) (xy 8.125054 -4.434338) (xy 8.134113 -4.337821) + (xy 8.148691 -4.262644) (xy 8.170097 -4.202117) (xy 8.199642 -4.149548) (xy 8.238635 -4.098247) + (xy 8.288385 -4.041521) (xy 8.289945 -4.039791) (xy 8.367676 -3.968496) (xy 8.459878 -3.904104) + (xy 8.502746 -3.881025) (xy 8.542222 -3.863508) (xy 8.580613 -3.850071) (xy 8.624743 -3.840175) + (xy 8.681438 -3.83328) (xy 8.757523 -3.828845) (xy 8.859823 -3.826331) (xy 8.995164 -3.825198) (xy 9.17037 -3.824907) + (xy 9.21231 -3.824902) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "d34c70cd-39e1-432e-aea7-6a6954f85cfb") + ) + (fp_poly + (pts + (xy -6.377959 -3.824574) (xy -6.241012 -3.825429) (xy -6.138006 -3.827639) (xy -6.061858 -3.831845) + (xy -6.005486 -3.83869) (xy -5.961807 -3.848816) (xy -5.923739 -3.862864) (xy -5.8842 -3.881477) + (xy -5.874734 -3.886182) (xy -5.726354 -3.986529) (xy -5.607319 -4.123853) (xy -5.54686 -4.232111) + (xy -5.531857 -4.267518) (xy -5.520009 -4.305384) (xy -5.510852 -4.351882) (xy -5.503923 -4.413189) + (xy -5.498759 -4.495477) (xy -5.494895 -4.604922) (xy -5.491868 -4.747698) (xy -5.489214 -4.929979) + (xy -5.488172 -5.013742) (xy -5.485812 -5.239618) (xy -5.485075 -5.422633) (xy -5.486431 -5.568017) + (xy -5.490354 -5.681003) (xy -5.497314 -5.766821) (xy -5.507785 -5.830703) (xy -5.522236 -5.877878) + (xy -5.541141 -5.91358) (xy -5.564972 -5.943038) (xy -5.572712 -5.951) (xy -5.634969 -6.013258) + (xy -6.395373 -6.012202) (xy -6.627653 -6.011323) (xy -6.81617 -6.009284) (xy -6.965256 -6.005916) + (xy -7.079244 -6.001048) (xy -7.162465 -5.994512) (xy -7.219252 -5.986138) (xy -7.246024 -5.97894) + (xy -7.326352 -5.941819) (xy -7.40753 -5.892043) (xy -7.419714 -5.883089) (xy -7.551635 -5.75596) + (xy -7.641623 -5.611737) (xy -7.689248 -5.456657) (xy -7.691781 -5.372948) (xy -7.240559 -5.372948) + (xy -7.216198 -5.457535) (xy -7.160424 -5.52574) (xy -7.083618 -5.563085) (xy -7.046361 -5.566563) + (xy -6.968563 -5.569876) (xy -6.857791 -5.57284) (xy -6.72161 -5.575273) (xy -6.567585 -5.57699) + (xy -6.488305 -5.577513) (xy -5.941099 -5.580303) (xy -5.941099 -5.363826) (xy -5.941099 -5.147349) + (xy -6.531491 -5.147349) (xy -7.121884 -5.147349) (xy -7.180923 -5.206388) (xy -7.229977 -5.284918) + (xy -7.240559 -5.372948) (xy -7.691781 -5.372948) (xy -7.694081 -5.296958) (xy -7.655692 -5.138878) + (xy -7.573652 -4.988652) (xy -7.488135 -4.889659) (xy -7.4281 -4.833614) (xy -7.371107 -4.789147) + (xy -7.310737 -4.754927) (xy -7.240572 -4.729625) (xy -7.154193 -4.711911) (xy -7.04518 -4.700456) + (xy -6.907115 -4.693929) (xy -6.733579 -4.691001) (xy -6.526032 -4.690341) (xy -5.941099 -4.690341) + (xy -5.941099 -4.544173) (xy -5.94391 -4.457855) (xy -5.956242 -4.401503) (xy -5.983941 -4.357115) + (xy -6.011408 -4.327695) (xy -6.081716 -4.257386) (xy -6.594693 -4.256852) (xy -6.767664 -4.256267) + (xy -6.899065 -4.254598) (xy -6.995431 -4.251355) (xy -7.063294 -4.246053) (xy -7.109188 -4.238204) + (xy -7.139645 -4.22732) (xy -7.158975 -4.214759) (xy -7.227432 -4.134909) (xy -7.254906 -4.045972) + (xy -7.240928 -3.958086) (xy -7.185032 -3.88139) (xy -7.174739 -3.872831) (xy -7.152304 -3.857327) + (xy -7.125271 -3.845531) (xy -7.087203 -3.83694) (xy -7.031665 -3.83105) (xy -6.95222 -3.827359) + (xy -6.842434 -3.825362) (xy -6.695869 -3.824557) (xy -6.55593 -3.824432) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "4f43f5bd-93b4-4053-adfa-58ef50460203") + ) + (fp_poly + (pts + (xy 1.309599 -3.82496) (xy 1.513862 -3.825608) (xy 1.676577 -3.828075) (xy 1.804304 -3.833742) (xy 1.903598 -3.84399) + (xy 1.981018 -3.860201) (xy 2.04312 -3.883757) (xy 2.096462 -3.916037) (xy 2.147602 -3.958425) (xy 2.203096 -4.012301) + (xy 2.204205 -4.013414) (xy 2.273466 -4.087588) (xy 2.323745 -4.156512) (xy 2.358289 -4.230322) + (xy 2.380345 -4.319155) (xy 2.393162 -4.43315) (xy 2.399986 -4.582442) (xy 2.401179 -4.62826) (xy 2.403169 -4.781309) + (xy 2.400573 -4.892824) (xy 2.393003 -4.969193) (xy 2.380068 -5.016805) (xy 2.377125 -5.022841) + (xy 2.357193 -5.055199) (xy 2.332216 -5.081432) (xy 2.29729 -5.102177) (xy 2.247509 -5.118073) (xy 2.177969 -5.129757) + (xy 2.083764 -5.137867) (xy 1.959988 -5.143043) (xy 1.801738 -5.145921) (xy 1.604107 -5.147139) + (xy 1.419901 -5.147349) (xy 0.649432 -5.147349) (xy 0.649432 -5.279261) (xy 0.653846 -5.364965) + (xy 0.671882 -5.42457) (xy 0.710729 -5.479648) (xy 0.719573 -5.489725) (xy 0.789715 -5.568277) (xy 1.319849 -5.575584) + (xy 1.849984 -5.582891) (xy 1.913554 -5.658439) (xy 1.960093 -5.746501) (xy 1.961877 -5.839104) + (xy 1.919818 -5.925669) (xy 1.887633 -5.959138) (xy 1.865953 -5.976557) (xy 1.841946 -5.989767) + (xy 1.809092 -5.999349) (xy 1.760874 -6.005878) (xy 1.690772 -6.009932) (xy 1.592268 -6.01209) (xy 1.458844 -6.012928) + (xy 1.28398 -6.013025) (xy 1.279482 -6.013023) (xy 1.10256 -6.012722) (xy 0.96653 -6.011585) (xy 0.864182 -6.009001) + (xy 0.788303 -6.004359) (xy 0.731681 -5.997048) (xy 0.687105 -5.986457) (xy 0.647361 -5.971975) + (xy 0.612864 -5.956557) (xy 0.509874 -5.892849) (xy 0.408426 -5.803384) (xy 0.320923 -5.70147) (xy 0.259769 -5.600417) + (xy 0.245071 -5.561922) (xy 0.233851 -5.498514) (xy 0.22521 -5.397693) (xy 0.219095 -5.268127) (xy 0.215456 -5.11848) + (xy 0.214238 -4.957418) (xy 0.21539 -4.793608) (xy 0.217659 -4.690341) (xy 0.643828 -4.690341) (xy 1.308088 -4.690341) + (xy 1.972348 -4.690341) (xy 1.972348 -4.58133) (xy 1.957913 -4.453054) (xy 1.916519 -4.354577) (xy 1.851032 -4.292086) + (xy 1.834635 -4.284175) (xy 1.78835 -4.27302) (xy 1.709534 -4.265427) (xy 1.594185 -4.261238) (xy 1.438299 -4.260297) + (xy 1.267045 -4.261992) (xy 1.100141 -4.264711) (xy 0.974536 -4.267692) (xy 0.883425 -4.27169) (xy 0.820005 -4.277462) + (xy 0.77747 -4.285764) (xy 0.749016 -4.297349) (xy 0.727839 -4.312975) (xy 0.717364 -4.323028) (xy 0.684555 -4.368252) + (xy 0.664948 -4.431761) (xy 0.65417 -4.528038) (xy 0.653815 -4.533492) (xy 0.643828 -4.690341) (xy 0.217659 -4.690341) + (xy 0.218859 -4.635716) (xy 0.224593 -4.492407) (xy 0.23254 -4.372347) (xy 0.242646 -4.284202) (xy 0.253067 -4.240429) + (xy 0.330587 -4.106289) (xy 0.439812 -3.985815) (xy 0.565674 -3.895217) (xy 0.581737 -3.886844) + (xy 0.621273 -3.867639) (xy 0.657648 -3.852848) (xy 0.697569 -3.841901) (xy 0.747744 -3.834229) + (xy 0.814882 -3.829262) (xy 0.90569 -3.826428) (xy 1.026875 -3.825157) (xy 1.185147 -3.824879) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "5e29c886-8e6e-4860-91e4-8107bd3c7197") + ) + (fp_poly + (pts + (xy 3.012735 5.987188) (xy 3.136528 5.981593) (xy 3.233875 5.973097) (xy 3.294418 5.96238) (xy 3.297689 5.961337) + (xy 3.424507 5.900715) (xy 3.540735 5.813851) (xy 3.629428 5.713935) (xy 3.6429 5.692646) (xy 3.703028 5.590046) + (xy 4.467281 5.548047) (xy 4.589021 5.541259) (xy 4.755027 5.531851) (xy 4.961543 5.520043) (xy 5.204813 5.506054) + (xy 5.48108 5.490106) (xy 5.786588 5.472417) (xy 6.117582 5.453208) (xy 6.470305 5.432698) (xy 6.841 5.411107) + (xy 7.225913 5.388655) (xy 7.621285 5.365562) (xy 8.023363 5.342048) (xy 8.428388 5.318333) (xy 8.832606 5.294636) + (xy 9.232259 5.271177) (xy 9.623592 5.248177) (xy 10.002849 5.225854) (xy 10.366273 5.20443) (xy 10.710108 5.184123) + (xy 11.030599 5.165154) (xy 11.323988 5.147743) (xy 11.58652 5.132109) (xy 11.814439 5.118472) (xy 12.003988 5.107052) + (xy 12.075092 5.102736) (xy 12.292714 5.08915) (xy 12.467489 5.076963) (xy 12.604612 5.06506) (xy 12.709275 5.052322) + (xy 12.786672 5.037633) (xy 12.841998 5.019875) (xy 12.880444 4.997931) (xy 12.907205 4.970685) + (xy 12.927475 4.937018) (xy 12.936823 4.917376) (xy 12.941052 4.894663) (xy 12.944881 4.8449) (xy 12.948323 4.766453) + (xy 12.951393 4.65769) (xy 12.954103 4.51698) (xy 12.956468 4.342689) (xy 12.958501 4.133187) (xy 12.960215 3.886841) + (xy 12.961625 3.602018) (xy 12.962744 3.277087) (xy 12.963585 2.910415) (xy 12.964163 2.50037) (xy 12.964491 2.045321) + (xy 12.964583 1.596093) (xy 12.964546 1.131618) (xy 12.964416 0.712852) (xy 12.964164 0.337395) + (xy 12.963763 0.002849) (xy 12.963185 -0.293184) (xy 12.962402 -0.553102) (xy 12.961385 -0.779304) + (xy 12.960107 -0.974188) (xy 12.95854 -1.140152) (xy 12.956655 -1.279595) (xy 12.954425 -1.394914) + (xy 12.951821 -1.488508) (xy 12.948816 -1.562775) (xy 12.945381 -1.620114) (xy 12.941489 -1.662923) + (xy 12.93711 -1.6936) (xy 12.932219 -1.714543) (xy 12.926785 -1.728151) (xy 12.925702 -1.730084) + (xy 12.869652 -1.800757) (xy 12.795465 -1.843331) (xy 12.689463 -1.865399) (xy 12.680124 -1.866411) + (xy 12.644485 -1.869028) (xy 12.563849 -1.874252) (xy 12.44124 -1.881904) (xy 12.279687 -1.891808) + (xy 12.082215 -1.903784) (xy 11.851852 -1.917655) (xy 11.591625 -1.933244) (xy 11.30456 -1.950372) + (xy 10.993684 -1.968862) (xy 10.662024 -1.988536) (xy 10.312607 -2.009215) (xy 9.948459 -2.030722) + (xy 9.572608 -2.05288) (xy 9.18808 -2.075509) (xy 8.797902 -2.098433) (xy 8.4051 -2.121474) (xy 8.012703 -2.144453) + (xy 7.623736 -2.167192) (xy 7.241226 -2.189515) (xy 6.8682 -2.211243) (xy 6.507685 -2.232198) (xy 6.162708 -2.252202) + (xy 5.836296 -2.271078) (xy 5.531475 -2.288647) (xy 5.251272 -2.304732) (xy 4.998714 -2.319155) + (xy 4.776828 -2.331738) (xy 4.58864 -2.342303) (xy 4.467281 -2.349023) (xy 3.703028 -2.390993) (xy 3.6429 -2.493593) + (xy 3.562097 -2.59457) (xy 3.45022 -2.685249) (xy 3.324213 -2.752441) (xy 3.297689 -2.762284) (xy 3.240767 -2.773205) + (xy 3.146226 -2.781909) (xy 3.024529 -2.787707) (xy 2.886139 -2.789914) (xy 2.876761 -2.789917) + (xy 2.737934 -2.789076) (xy 2.636694 -2.785917) (xy 2.562536 -2.779149) (xy 2.504951 -2.767483) + (xy 2.453432 -2.749627) (xy 2.416825 -2.733443) (xy 2.26065 -2.634921) (xy 2.13575 -2.501064) (xy 2.085671 -2.420564) + (xy 2.074159 -2.398227) (xy 2.064417 -2.375098) (xy 2.056276 -2.347135) (xy 2.049563 -2.310299) + (xy 2.044108 -2.260548) (xy 2.03974 -2.193844) (xy 2.036287 -2.106144) (xy 2.033579 -1.99341) (xy 2.031443 -1.851601) + (xy 2.02971 -1.676676) (xy 2.028208 -1.464596) (xy 2.026766 -1.21132) (xy 2.025684 -1.004214) (xy 2.018887 0.312689) + (xy 1.821233 0.312924) (xy 1.702551 0.316712) (xy 1.612762 0.329972) (xy 1.533046 0.356051) (xy 1.502398 0.369589) + (xy 1.391244 0.439055) (xy 1.287887 0.534957) (xy 1.205224 0.643274) (xy 1.157814 0.744221) (xy 1.128561 0.841856) + (xy -0.927007 0.841856) (xy -2.982576 0.841856) (xy -2.982576 0.564052) (xy -2.98567 0.412797) (xy -2.996411 0.301613) + (xy -3.016988 0.222775) (xy -3.049589 0.168557) (xy -3.096403 0.131237) (xy -3.106802 0.125565) + (xy -3.141684 0.11198) (xy -3.212106 0.087719) (xy -3.308945 0.05567) (xy -3.423078 0.018719) (xy -3.545384 -0.020247) + (xy -3.66674 -0.05834) (xy -3.778024 -0.092674) (xy -3.870113 -0.120362) (xy -3.933885 -0.138516) + (xy -3.959576 -0.144318) (xy -3.962387 -0.121473) (xy -3.964864 -0.057768) (xy -3.966864 0.03955) + (xy -3.968245 0.163236) (xy -3.968865 0.306044) (xy -3.968884 0.330729) (xy -3.970167 0.483391) + (xy -3.973656 0.625142) (xy -3.978948 0.74681) (xy -3.985638 0.839223) (xy -3.993323 0.893209) (xy -3.993766 0.894881) + (xy -4.041558 0.992702) (xy -4.114933 1.055566) (xy -4.204144 1.080538) (xy -4.299439 1.064679) + (xy -4.383285 1.012237) (xy -4.461837 0.942088) (xy -4.46897 0.318147) (xy -4.476102 -0.305794) + (xy -4.697474 -0.373083) (xy -4.796403 -0.403329) (xy -4.879407 -0.429027) (xy -4.934662 -0.446501) + (xy -4.948911 -0.451264) (xy -4.958072 -0.44484) (xy -4.965292 -0.415372) (xy -4.970763 -0.358645) + (xy -4.974676 -0.270444) (xy -4.977222 -0.146555) (xy -4.978592 0.017239) (xy -4.978977 0.212338) + (xy -4.979805 0.397719) (xy -4.982154 0.566539) (xy -4.985821 0.712448) (xy -4.990603 0.829096) + (xy -4.996298 0.910132) (xy -5.002484 0.948657) (xy -5.051113 1.015182) (xy -5.128325 1.057762) + (xy -5.219849 1.074307) (xy -5.311413 1.062727) (xy -5.388745 1.020931) (xy -5.402617 1.007268) + (xy -5.415163 0.990512) (xy -5.42533 0.967402) (xy -5.433473 0.932776) (xy -5.439947 0.881474) (xy -5.445107 0.808334) + (xy -5.449308 0.708197) (xy -5.452905 0.575902) (xy -5.456255 0.406288) (xy -5.45971 0.194195) (xy -5.460038 0.172891) + (xy -5.472065 -0.611361) (xy -5.717739 -0.687893) (xy -5.963414 -0.764425) (xy -5.970296 0.088819) + (xy -5.977178 0.942062) (xy -6.05573 1.012224) (xy -6.149382 1.070854) (xy -6.244205 1.081459) (xy -6.338575 1.044039) + (xy -6.379687 1.012224) (xy -6.458239 0.942063) (xy -6.465325 0.013194) (xy -6.472412 -0.915674) + (xy -6.717882 -0.908832) (xy -6.963352 -0.901989) (xy -6.975379 0.03217) (xy -6.987405 0.96633) + (xy -7.054893 1.024358) (xy -7.145975 1.073163) (xy -7.249641 1.077266) (xy -7.32482 1.053651) (xy -7.357073 1.037611) + (xy -7.383577 1.018634) (xy -7.404895 0.992159) (xy -7.421592 0.953626) (xy -7.434232 0.898473) + (xy -7.443378 0.822142) (xy -7.449594 0.720071) (xy -7.453444 0.5877) (xy -7.455493 0.420468) (xy -7.456303 0.213815) + (xy -7.45644 -0.010832) (xy -7.45644 -0.914015) (xy -8.587705 -0.914015) (xy -8.867424 -0.913956) + (xy -9.10305 -0.913441) (xy -9.298593 -0.91197) (xy -9.458065 -0.909039) (xy -9.585477 -0.904145) + (xy -9.684843 -0.896786) (xy -9.760173 -0.886459) (xy -9.815479 -0.87266) (xy -9.854773 -0.854888) + (xy -9.882066 -0.83264) (xy -9.901371 -0.805413) (xy -9.916699 -0.772703) (xy -9.927262 -0.746145) + (xy -9.965252 -0.649432) (xy -11.245197 -0.649432) (xy -11.525951 -0.649387) (xy -11.762596 -0.649155) + (xy -11.959128 -0.64859) (xy -12.119547 -0.647544) (xy -12.247847 -0.645872) (xy -12.348026 -0.643426) + (xy -12.424082 -0.640062) (xy -12.48001 -0.635631) (xy -12.519809 -0.629987) (xy -12.547476 -0.622984) + (xy -12.567006 -0.614476) (xy -12.582399 -0.604316) (xy -12.587689 -0.600232) (xy -12.627554 -0.559883) + (xy -12.657176 -0.505719) (xy -12.677888 -0.430861) (xy -12.69102 -0.328429) (xy -12.697902 -0.191544) + (xy -12.699866 -0.020393) (xy -12.7 0.332036) (xy -12.713499 0.336742) (xy -12.194887 0.336742) + (xy -12.194887 0.096212) (xy -12.194887 -0.144318) (xy -11.076421 -0.144318) (xy -9.957955 -0.144318) + (xy -9.957955 0.348769) (xy -9.957955 0.841856) (xy -10.198485 0.841856) (xy -10.439015 0.841856) + (xy -10.439105 0.691525) (xy -10.453669 0.553817) (xy -10.495681 0.447513) (xy -10.562892 0.37733) + (xy -10.596937 0.360196) (xy -10.63692 0.354075) (xy -10.719463 0.348635) (xy -10.83902 0.344031) + (xy -10.990048 0.340422) (xy -11.167003 0.337962) (xy -11.36434 0.33681) (xy -11.426755 0.336742) + (xy -12.194887 0.336742) (xy -12.713499 0.336742) (xy -12.771642 0.357011) (xy -12.83246 0.394579) + (xy -12.88659 0.453481) (xy -12.891818 0.461589) (xy -12.903506 0.482443) (xy -12.913152 0.506125) + (xy -12.920951 0.537176) (xy -12.927099 0.580139) (xy -12.931791 0.639555) (xy -12.935225 0.719966) + (xy -12.937594 0.825912) (xy -12.939096 0.961937) (xy -12.939925 1.13258) (xy -12.940278 1.342385) + (xy -12.940351 1.5875) (xy -12.435417 1.5875) (xy -12.435417 0.841856) (xy -11.689773 0.841856) + (xy -10.944129 0.841856) (xy -10.944129 1.009848) (xy -10.942058 1.102525) (xy -10.932726 1.163621) + (xy -10.91145 1.209591) (xy -10.873998 1.256391) (xy -10.803867 1.334943) (xy -10.380911 1.342507) + (xy -9.957955 1.35007) (xy -9.957955 1.5875) (xy -9.957955 1.824929) (xy -10.380911 1.832493) (xy -10.803867 1.840057) + (xy -10.873998 1.918609) (xy -10.911719 1.96582) (xy -10.932861 2.011855) (xy -10.942104 2.073171) + (xy -10.944129 2.165152) (xy -10.944129 2.333144) (xy -11.689773 2.333144) (xy -12.435417 2.333144) + (xy -12.435417 1.5875) (xy -12.940351 1.5875) (xy -12.940246 1.84027) (xy -12.939812 2.049445) (xy -12.938866 2.219536) + (xy -12.937227 2.355052) (xy -12.934711 2.460505) (xy -12.931139 2.540406) (xy -12.926327 2.599263) + (xy -12.920095 2.641589) (xy -12.912259 2.671894) (xy -12.902639 2.694689) (xy -12.895179 2.707883) + (xy -12.84201 2.768653) (xy -12.77646 2.812413) (xy -12.775004 2.813026) (xy -12.7 2.844094) (xy -12.699911 3.078788) + (xy -12.194887 3.078788) (xy -12.194887 2.838258) (xy -11.423995 2.838258) (xy -11.195544 2.837996) + (xy -11.010188 2.836708) (xy -10.862915 2.833633) (xy -10.748714 2.828014) (xy -10.662575 2.819092) + (xy -10.599485 2.806109) (xy -10.554435 2.788307) (xy -10.522414 2.764928) (xy -10.498409 2.735213) + (xy -10.47741 2.698403) (xy -10.475502 2.694727) (xy -10.454131 2.627409) (xy -10.441189 2.534344) + (xy -10.439015 2.478657) (xy -10.439015 2.333144) (xy -10.198485 2.333144) (xy -9.957955 2.333144) + (xy -9.957955 2.806929) (xy -9.452841 2.806929) (xy -9.452841 2.560326) (xy -9.290483 2.489894) + (xy -9.111893 2.386873) (xy -8.957062 2.245613) (xy -8.832917 2.072938) (xy -8.7964 2.002988) (xy -8.76116 1.924917) + (xy -8.73864 1.860204) (xy -8.726023 1.793682) (xy -8.72049 1.710182) (xy -8.719225 1.594536) (xy -8.719224 1.5875) + (xy -8.720561 1.467721) (xy -8.726229 1.38131) (xy -8.738713 1.313563) (xy -8.760499 1.249778) (xy -8.786022 1.192305) + (xy -8.896464 1.005321) (xy -9.036795 0.846894) (xy -9.200315 0.72336) (xy -9.362642 0.646949) (xy -9.452841 0.615715) + (xy -9.452841 0.36799) (xy -9.451063 0.265396) (xy -9.446259 0.183522) (xy -9.439227 0.1324) (xy -9.433154 0.120265) + (xy -9.400797 0.126545) (xy -9.338058 0.142739) (xy -9.282822 0.158325) (xy -9.045893 0.251779) + (xy -8.828265 0.385985) (xy -8.63535 0.55564) (xy -8.47256 0.755439) (xy -8.345306 0.980077) (xy -8.28406 1.137359) + (xy -8.251912 1.247015) (xy -8.232413 1.345416) (xy -8.222763 1.452279) (xy -8.220166 1.58732) (xy -8.220166 1.5875) + (xy -8.220991 1.599526) (xy 1.659659 1.599526) (xy 1.660269 1.378591) (xy 1.662188 1.202302) (xy 1.665555 1.067218) + (xy 1.670504 0.969892) (xy 1.677173 0.906882) (xy 1.685698 0.874743) (xy 1.688523 0.87072) (xy 1.727625 0.854982) + (xy 1.799579 0.84463) (xy 1.86892 0.841856) (xy 2.020454 0.841856) (xy 2.020454 1.599526) (xy 2.020454 1.603706) + (xy 2.549621 1.603706) (xy 2.549649 1.103166) (xy 2.549746 0.648513) (xy 2.549938 0.23753) (xy 2.550245 -0.132003) + (xy 2.550693 -0.462303) (xy 2.551303 -0.75559) (xy 2.552099 -1.014081) (xy 2.553104 -1.239996) (xy 2.554342 -1.435551) + (xy 2.555834 -1.602967) (xy 2.557605 -1.744462) (xy 2.559677 -1.862253) (xy 2.562074 -1.95856) (xy 2.564819 -2.0356) + (xy 2.567934 -2.095593) (xy 2.571444 -2.140756) (xy 2.57537 -2.173308) (xy 2.579736 -2.195468) (xy 2.584566 -2.209454) + (xy 2.589429 -2.216998) (xy 2.634512 -2.239483) (xy 2.714145 -2.255045) (xy 2.815258 -2.263592) + (xy 2.92478 -2.265032) (xy 3.029643 -2.259272) (xy 3.116776 -2.246219) (xy 3.173111 -2.22578) (xy 3.179119 -2.221177) + (xy 3.18493 -2.214524) (xy 3.190206 -2.204089) (xy 3.194972 -2.187637) (xy 3.199256 -2.162934) (xy 3.203082 -2.127743) + (xy 3.206476 -2.079829) (xy 3.209465 -2.016958) (xy 3.212073 -1.936892) (xy 3.214327 -1.837397) + (xy 3.216252 -1.716238) (xy 3.217874 -1.571179) (xy 3.219219 -1.399985) (xy 3.220313 -1.200419) + (xy 3.221181 -0.970248) (xy 3.22185 -0.707235) (xy 3.222344 -0.409145) (xy 3.22269 -0.073743) (xy 3.222913 0.301207) + (xy 3.22304 0.717941) (xy 3.223095 1.178693) (xy 3.223106 1.595347) (xy 3.223106 1.599526) (xy 3.752273 1.599526) + (xy 3.752432 1.053997) (xy 3.752911 0.556298) (xy 3.753712 0.106155) (xy 3.754836 -0.296707) (xy 3.756286 -0.652564) + (xy 3.758064 -0.961688) (xy 3.760171 -1.224356) (xy 3.76261 -1.440843) (xy 3.765383 -1.611421) (xy 3.76849 -1.736367) + (xy 3.771935 -1.815956) (xy 3.77572 -1.850461) (xy 3.776797 -1.852083) (xy 3.805203 -1.850768) (xy 3.876687 -1.847004) + (xy 3.9863 -1.841064) (xy 4.12909 -1.833221) (xy 4.300108 -1.823748) (xy 4.494403 -1.812917) (xy 4.707025 -1.801003) + (xy 4.877223 -1.791424) (xy 5.086958 -1.779534) (xy 5.328489 -1.765727) (xy 5.598579 -1.750193) + (xy 5.893987 -1.733124) (xy 6.211476 -1.714712) (xy 6.547805 -1.695147) (xy 6.899737 -1.674621) + (xy 7.264033 -1.653325) (xy 7.637453 -1.631451) (xy 8.016759 -1.609188) (xy 8.398713 -1.58673) (xy 8.780074 -1.564266) + (xy 9.157605 -1.541989) (xy 9.528066 -1.520088) (xy 9.888218 -1.498757) (xy 10.234824 -1.478185) + (xy 10.564643 -1.458564) (xy 10.874437 -1.440086) (xy 11.160968 -1.422941) (xy 11.420995 -1.407321) + (xy 11.651282 -1.393417) (xy 11.848588 -1.38142) (xy 12.009674 -1.371522) (xy 12.131303 -1.363913) + (xy 12.210235 -1.358785) (xy 12.236979 -1.356883) (xy 12.435417 -1.34128) (xy 12.435417 1.602371) + (xy 12.435328 1.974413) (xy 12.435071 2.332854) (xy 12.434655 2.674783) (xy 12.434091 2.997289) + (xy 12.433391 3.297461) (xy 12.432565 3.572388) (xy 12.431624 3.819159) (xy 12.43058 4.034864) (xy 12.429442 4.216591) + (xy 12.428222 4.36143) (xy 12.426931 4.466469) (xy 12.42558 4.528798) (xy 12.424387 4.546023) (xy 12.399029 4.547429) + (xy 12.329922 4.551477) (xy 12.221334 4.557912) (xy 12.077536 4.56648) (xy 11.902796 4.576924) (xy 11.701383 4.588989) + (xy 11.477568 4.602421) (xy 11.235618 4.616965) (xy 11.023298 4.629745) (xy 10.713182 4.648377) + (xy 10.38193 4.668193) (xy 10.032531 4.689018) (xy 9.667974 4.710679) (xy 9.291248 4.733) (xy 8.905342 4.755809) + (xy 8.513244 4.778931) (xy 8.117942 4.802192) (xy 7.722426 4.825417) (xy 7.329684 4.848432) (xy 6.942705 4.871063) + (xy 6.564478 4.893136) (xy 6.19799 4.914477) (xy 5.846232 4.934911) (xy 5.512191 4.954265) (xy 5.198856 4.972363) + (xy 4.909217 4.989032) (xy 4.64626 5.004098) (xy 4.412976 5.017387) (xy 4.212353 5.028723) (xy 4.04738 5.037934) + (xy 3.921045 5.044845) (xy 3.836337 5.049281) (xy 3.796244 5.051068) (xy 3.794365 5.051097) (xy 3.788559 5.049315) + (xy 3.78331 5.042417) (xy 3.778592 5.028096) (xy 3.774377 5.004047) (xy 3.770635 4.967961) (xy 3.76734 4.917531) + (xy 3.764462 4.850451) (xy 3.761974 4.764414) (xy 3.759848 4.657111) (xy 3.758055 4.526237) (xy 3.756567 4.369484) + (xy 3.755357 4.184545) (xy 3.754395 3.969112) (xy 3.753655 3.72088) (xy 3.753107 3.43754) (xy 3.752724 3.116786) + (xy 3.752477 2.75631) (xy 3.752339 2.353806) (xy 3.752281 1.906966) (xy 3.752273 1.599526) (xy 3.223106 1.599526) + (xy 3.223078 2.095887) (xy 3.222981 2.55054) (xy 3.222789 2.961523) (xy 3.222482 3.331056) (xy 3.222034 3.661356) + (xy 3.221424 3.954643) (xy 3.220628 4.213134) (xy 3.219623 4.439048) (xy 3.218385 4.634604) (xy 3.216893 4.80202) + (xy 3.215122 4.943515) (xy 3.21305 5.061306) (xy 3.210653 5.157613) (xy 3.207908 5.234653) (xy 3.204793 5.294646) + (xy 3.201283 5.339809) (xy 3.197357 5.372361) (xy 3.19299 5.394521) (xy 3.188161 5.408507) (xy 3.183298 5.416051) + (xy 3.138215 5.438536) (xy 3.058582 5.454098) (xy 2.957469 5.462645) (xy 2.847947 5.464085) (xy 2.743084 5.458325) + (xy 2.65595 5.445272) (xy 2.599616 5.424833) (xy 2.593608 5.42023) (xy 2.587797 5.413577) (xy 2.582521 5.403142) + (xy 2.577755 5.38669) (xy 2.573471 5.361987) (xy 2.569645 5.326796) (xy 2.566251 5.278882) (xy 2.563262 5.21601) + (xy 2.560654 5.135945) (xy 2.5584 5.03645) (xy 2.556475 4.915291) (xy 2.554853 4.770232) (xy 2.553508 4.599037) + (xy 2.552414 4.399472) (xy 2.551546 4.169301) (xy 2.550877 3.906288) (xy 2.550383 3.608198) (xy 2.550037 3.272796) + (xy 2.549814 2.897845) (xy 2.549687 2.481112) (xy 2.549632 2.02036) (xy 2.549621 1.603706) (xy 2.020454 1.603706) + (xy 2.020454 2.357197) (xy 1.86892 2.357197) (xy 1.781846 2.352747) (xy 1.715766 2.341013) (xy 1.688523 2.328333) + (xy 1.679437 2.304464) (xy 1.672246 2.250718) (xy 1.666815 2.16365) (xy 1.663005 2.039818) (xy 1.660682 1.875775) + (xy 1.659708 1.66808) (xy 1.659659 1.599526) (xy -8.220991 1.599526) (xy -8.237253 1.836546) (xy -8.290695 2.064236) + (xy -8.383761 2.2795) (xy -8.519723 2.491267) (xy -8.579097 2.567502) (xy -8.687142 2.676671) (xy -8.828504 2.785311) + (xy -8.989041 2.885036) (xy -9.154611 2.967463) (xy -9.31107 3.024208) (xy -9.374669 3.039118) (xy -9.452841 3.053532) + (xy -9.452841 2.806929) (xy -9.957955 2.806929) (xy -9.957955 2.826231) (xy -9.957955 3.319318) + (xy -11.076421 3.319318) (xy -12.194887 3.319318) (xy -12.194887 3.078788) (xy -12.699911 3.078788) + (xy -12.699866 3.195958) (xy -12.697745 3.372518) (xy -12.690645 3.507994) (xy -12.677235 3.609267) + (xy -12.656179 3.683221) (xy -12.626147 3.736738) (xy -12.587689 3.775232) (xy -12.572803 3.786025) + (xy -12.555213 3.795113) (xy -12.530923 3.802641) (xy -12.495932 3.808757) (xy -12.446242 3.813607) + (xy -12.377855 3.817338) (xy -12.286772 3.820095) (xy -12.168994 3.822027) (xy -12.020523 3.82328) + (xy -11.837358 3.824) (xy -11.615503 3.824334) (xy -11.350958 3.824428) (xy -11.246177 3.824432) + (xy -9.967213 3.824432) (xy -9.927279 3.914631) (xy -9.911576 3.950638) (xy -9.896339 3.980887) + (xy -9.877496 4.005903) (xy -9.850974 4.026213) (xy -9.8127 4.042342) (xy -9.758602 4.054818) (xy -9.684608 4.064164) + (xy -9.586644 4.070909) (xy -9.460638 4.075578) (xy -9.302518 4.078696) (xy -9.108211 4.08079) (xy -8.873645 4.082387) + (xy -8.616998 4.083878) (xy -7.45644 4.090768) (xy -7.45644 3.186708) (xy -7.456264 2.940361) (xy -7.455362 2.73756) + (xy -7.45317 2.57375) (xy -7.449125 2.44437) (xy -7.442664 2.344864) (xy -7.433224 2.270672) (xy -7.420242 2.217237) + (xy -7.403155 2.18) (xy -7.381398 2.154404) (xy -7.35441 2.13589) (xy -7.32482 2.121349) (xy -7.21655 2.094178) + (xy -7.116041 2.112232) (xy -7.054893 2.150642) (xy -6.987405 2.20867) (xy -6.975379 3.142829) (xy -6.963352 4.076989) + (xy -6.717882 4.083831) (xy -6.472412 4.090674) (xy -6.465325 3.161806) (xy -6.458239 2.232937) + (xy -6.379687 2.162775) (xy -6.286035 2.104146) (xy -6.191211 2.093541) (xy -6.096842 2.130961) + (xy -6.05573 2.162776) (xy -5.977178 2.232938) (xy -5.970296 3.086181) (xy -5.963414 3.939425) (xy -5.717739 3.862893) + (xy -5.472065 3.786361) (xy -5.460038 2.997509) (xy -5.448012 2.208657) (xy -5.380524 2.150635) + (xy -5.289441 2.1015) (xy -5.188192 2.093562) (xy -5.091452 2.12682) (xy -5.058491 2.150634) (xy -4.991004 2.208653) + (xy -4.983955 2.922506) (xy -4.981481 3.133404) (xy -4.978536 3.300418) (xy -4.974893 3.427769) + (xy -4.970322 3.519677) (xy -4.964599 3.580364) (xy -4.957495 3.614052) (xy -4.948783 3.62496) (xy -4.94616 3.624561) + (xy -4.910757 3.61282) (xy -4.841157 3.59106) (xy -4.749272 3.562979) (xy -4.694639 3.546506) (xy -4.473864 3.480249) + (xy -4.473864 2.892292) (xy -4.473354 2.699924) (xy -4.471601 2.549613) (xy -4.468265 2.435318) + (xy -4.463011 2.351) (xy -4.4555 2.290617) (xy -4.445395 2.248129) (xy -4.436625 2.22586) (xy -4.376678 2.146053) + (xy -4.297288 2.102452) (xy -4.209129 2.093748) (xy -4.122874 2.118635) (xy -4.049194 2.175804) + (xy -3.998765 2.263948) (xy -3.993766 2.280119) (xy -3.986039 2.331726) (xy -3.979283 2.422264) + (xy -3.973904 2.542562) (xy -3.970305 2.683447) (xy -3.968891 2.835747) (xy -3.968884 2.844271) + (xy -3.968402 2.989517) (xy -3.967136 3.116827) (xy -3.965228 3.218955) (xy -3.962819 3.288654) + (xy -3.960053 3.31868) (xy -3.959576 3.319318) (xy -3.927995 3.312141) (xy -3.859995 3.292578) (xy -3.764754 3.263579) + (xy -3.651448 3.228095) (xy -3.529256 3.189076) (xy -3.407354 3.149473) (xy -3.294919 3.112236) + (xy -3.201129 3.080317) (xy -3.135161 3.056664) (xy -3.10877 3.045774) (xy -3.058723 3.01188) (xy -3.023426 2.965038) + (xy -3.000565 2.897228) (xy -2.987824 2.800429) (xy -2.982888 2.666623) (xy -2.982576 2.608622) + (xy -2.982576 2.333144) (xy -0.929168 2.333144) (xy 1.12424 2.333144) (xy 1.154613 2.441624) (xy 1.206892 2.556583) + (xy 1.293196 2.669965) (xy 1.401051 2.767861) (xy 1.502398 2.829464) (xy 1.582802 2.861019) (xy 1.666244 2.878618) + (xy 1.771444 2.88558) (xy 1.822017 2.886129) (xy 2.020454 2.886364) (xy 2.020589 4.155161) (xy 2.021101 4.454209) + (xy 2.022541 4.721444) (xy 2.024865 4.954378) (xy 2.028025 5.150524) (xy 2.031978 5.307394) (xy 2.036677 5.4225) + (xy 2.042078 5.493355) (xy 2.045318 5.512512) (xy 2.110707 5.661523) (xy 2.215619 5.79247) (xy 2.35228 5.896086) + (xy 2.379485 5.911032) (xy 2.439943 5.941731) (xy 2.490294 5.963113) (xy 2.541174 5.976862) (xy 2.603215 5.984664) + (xy 2.687052 5.988203) (xy 2.803319 5.989164) (xy 2.872849 5.989204) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "a90391b3-a90d-4bb4-a55c-8f655dbe9400") + ) + ) + (gr_line + (start 135.255 118.618) + (end 147.955 118.618) + (stroke + (width 0.15) + (type default) + ) + (layer "B.SilkS") + (uuid "d3432163-6fec-474f-8930-919ec950b584") + ) + (gr_poly + (pts + (xy 132.08 62.738) (xy 151.13 62.738) (xy 151.13 125.73) (xy 132.08 125.73) + ) + (stroke + (width 0.2) + (type solid) + ) + (fill none) + (layer "Edge.Cuts") + (uuid "92297c63-d2fe-4f48-aeaf-a8cb59beff5c") + ) + (gr_text "l.notsyncing.net/tnet" + (at 141.605 119.888 0) + (layer "B.SilkS") + (uuid "4277296a-fecd-4ad1-90c7-eb6cbacba81a") + (effects + (font + (size 0.8 0.8) + (thickness 0.1) + ) + (justify bottom mirror) + ) + ) + (gr_text "Trashernet SoC" + (at 141.605 118.364 0) + (layer "B.SilkS") + (uuid "cac6dbe6-f167-4242-9bd5-726d7d3eada7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify bottom mirror) + ) + ) + (gr_text "Revision 1.0" + (at 141.605 122.809 0) + (layer "B.SilkS") + (uuid "f4941911-f2b3-4823-85b8-a37248087e2e") + (effects + (font + (size 0.8 0.8) + (thickness 0.1) + ) + (justify bottom mirror) + ) + ) + (gr_text "Reset" + (at 136.906 92.964 90) + (layer "F.SilkS") + (uuid "0d765da0-c417-4dbb-8e86-8017c13f97d5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify left bottom) + ) + ) + (segment + (start 146.558 101.269) + (end 147.535014 101.269) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "06a61403-4ea5-4ab6-9281-532f8a81a71a") + ) + (segment + (start 145.3615 100.769) + (end 145.3615 101.269) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "21b6c5d6-7d56-4c8b-b538-bef862d99855") + ) + (segment + (start 148.13 103.934) + (end 148.209 104.013) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "42e0a8e8-8e81-487e-b251-0f3c8932d8ec") + ) + (segment + (start 137.046 97.155) + (end 137.046 97.720158) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "6a9e83bd-d1b5-466c-9f62-983c3d2bebd6") + ) + (segment + (start 148.13 101.863986) + (end 148.13 102.235) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "87c1de93-0612-4d55-a092-5630b509a70a") + ) + (segment + (start 138.044974 99.769) + (end 138.4865 99.769) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "8cdb76ab-f7cb-4947-b129-5f33738f0b2d") + ) + (segment + (start 145.3615 101.269) + (end 146.558 101.269) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "8f6f0dfc-1f1f-403d-adfe-821c65ca337f") + ) + (segment + (start 137.456 98.130158) + (end 137.456 99.180026) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "951be76c-751b-4ccb-a8bf-f6ecde95989d") + ) + (segment + (start 147.535014 101.269) + (end 148.13 101.863986) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "984e2cf5-89ae-4a63-be65-bf67416909d4") + ) + (segment + (start 148.13 102.235) + (end 148.13 103.934) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "c20b3847-b2e4-49ea-bc20-d17be6f386bd") + ) + (segment + (start 148.209 104.013) + (end 148.209 105.9235) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "c67f9a2c-6320-4195-9b3e-5f0c648f60b0") + ) + (segment + (start 137.046 97.720158) + (end 137.456 98.130158) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "e27ca2ec-aba9-456d-b65f-1d57cc9fe2a8") + ) + (segment + (start 137.456 99.180026) + (end 138.044974 99.769) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "e87bf988-e65f-48c3-89e7-0531968944e2") + ) + (via + (at 137.046 97.155) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 1) + (uuid "2f8e1587-967b-4c0d-8e20-227ea99aed0f") + ) + (via + (at 146.558 101.269) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 1) + (uuid "5510ea21-308a-4b29-902d-6e8cf326eb20") + ) + (segment + (start 150.1903 96.2915) + (end 149.4282 95.5294) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "07f78ca3-a077-4d83-8ac7-3a36ec19a3e6") + ) + (segment + (start 149.4536 98.9236) + (end 149.4536 100.4846) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "0a469056-2e62-4137-b7ec-65bbb83adf9a") + ) + (segment + (start 134.747 95.504) + (end 133.985 94.742) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "0d39c1d4-e69a-4ebc-8d1b-5e5952ac3d83") + ) + (segment + (start 146.431 92.583) + (end 146.345 92.669) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "0dbfe0e3-17da-43bb-94c9-200ff9572be8") + ) + (segment + (start 148.918016 101.472984) + (end 148.918016 101.473) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "1090222d-deab-405d-aa89-5882ad3fa48f") + ) + (segment + (start 138.797 92.471) + (end 138.14142 92.471) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "17bfc849-77bb-4ec0-96f9-b23d4b4f3dbc") + ) + (segment + (start 149.159 104.963) + (end 150.109 104.013) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "1c2c9f65-a885-498d-8c01-814de5e5cf41") + ) + (segment + (start 134.76 95.504) + (end 134.747 95.504) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "1ce42ebf-524e-4bd7-a43c-1879822dd945") + ) + (segment + (start 148.844 98.314) + (end 149.4536 98.9236) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "21507bc8-486f-4782-9bd4-92c2076ba10e") + ) + (segment + (start 142.367 108.839) + (end 141.859 109.347) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "2259c6c0-01a3-4992-ad77-27a69bad12d6") + ) + (segment + (start 150.109 110.109) + (end 149.159 109.159) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "24ae3dec-e5b5-4066-856e-79f8252a7ba7") + ) + (segment + (start 146.939 86.7585) + (end 147.071 86.7585) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "25445258-b802-4044-aad5-1e6284d9f136") + ) + (segment + (start 149.68 102.69) + (end 150.109 103.119) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "3411003a-5f8d-4077-9282-ddbccffd1575") + ) + (segment + (start 149.159 109.159) + (end 149.159 108.1985) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "347145c2-181f-4bba-ae1b-9d3217eda4fa") + ) + (segment + (start 140.843 87.884) + (end 140.335 87.376) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "347e465b-2b0b-4fe7-b017-2df683d57fe4") + ) + (segment + (start 141.795 89.154) + (end 141.795 90.628) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "382f2bf5-75d2-4c05-ad63-8c146aefdeca") + ) + (segment + (start 150.2156 96.2915) + (end 150.1903 96.2915) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "42da415e-032d-4bc9-b57d-e39df4beeb2d") + ) + (segment + (start 149.27 91.0388) + (end 147.645 91.0388) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "493fbaf7-0ae8-424f-88d0-2408bb0dff10") + ) + (segment + (start 150.109 111.374) + (end 150.114 111.379) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "4b6271d3-2e47-45a6-9c35-99fb2d66e0c1") + ) + (segment + (start 150.2156 99.2632) + (end 150.2156 99.109194) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "51245522-d2e8-458d-860f-52cf18109fe1") + ) + (segment + (start 134.112 89.105) + (end 134.112 90.17) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "52a68e7d-e051-448e-91d8-aa83f5b2557c") + ) + (segment + (start 145.2372 89.281) + (end 147.32 91.3638) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "5388a587-234c-41c6-b636-c077fe8b5105") + ) + (segment + (start 149.4536 100.4846) + (end 149.68 100.711) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "58c322d2-2c95-4fc9-a341-2adfd745d51a") + ) + (segment + (start 147.2438 91.44) + (end 147.32 91.44) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "5c48f7c1-2ed2-4871-98d2-a6d26e748fba") + ) + (segment + (start 150.109 110.109) + (end 150.109 111.374) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "65d3c7e9-2fc1-47c3-a616-847e166ada7f") + ) + (segment + (start 146.345 92.669) + (end 146.345 93.3138) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "68bb9048-de65-4333-b19b-5abc1048b912") + ) + (segment + (start 149.159 108.1985) + (end 149.159 104.963) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "72ad0472-a941-430c-a33f-97eb623fc24d") + ) + (segment + (start 147.955 86.741) + (end 147.32 86.741) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "72d8a9cc-f704-47d5-ac26-3b184fdc2a60") + ) + (segment + (start 150.109 103.119) + (end 150.109 104.013) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "75be895c-cac0-4260-bd83-6725d661120c") + ) + (segment + (start 145.529 110.376) + (end 146.05 109.855) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "76da64da-9666-4db9-9d7f-13bbbb01d49d") + ) + (segment + (start 147.32 91.3638) + (end 147.32 91.3638) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "7a25adb6-e686-44db-8c77-ae41d17983db") + ) + (segment + (start 146.939 86.7585) + (end 147.3025 86.7585) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "7aaa61a5-1cba-4c29-8eaa-9721bb364307") + ) + (segment + (start 134.76 96.419) + (end 134.76 95.504) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "8b0f0f22-b843-445d-ab1b-37e350116ec4") + ) + (segment + (start 144.767 89.268) + (end 144.78 89.281) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "8fee079d-40a5-40fb-bda6-151655e33213") + ) + (segment + (start 140.335 93.731) + (end 138.907 93.731) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "9319aba5-9311-436c-9288-1179a03faa50") + ) + (segment + (start 146.431 92.583) + (end 146.431 92.2528) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "966028b4-b4cd-40d6-bfa3-e44ef405592d") + ) + (segment + (start 141.795 89.154) + (end 141.795 88.963) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "9ab5d9f0-287c-4714-b50d-e5d6f893dc9d") + ) + (segment + (start 143.002 68.707) + (end 143.002 69.469) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "a18feb93-6939-4eba-8da5-280a68d6d77b") + ) + (segment + (start 146.431 92.2528) + (end 147.2438 91.44) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "a40beced-9893-44e6-b6d0-6309af45545e") + ) + (segment + (start 147.645 91.0388) + (end 147.32 91.3638) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "a702c443-98a8-4619-9b36-f1e8c7a681a0") + ) + (segment + (start 150.2156 99.109194) + (end 149.44341 98.337004) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "a76a8e8d-8e4d-42f2-a6dc-d94764588ad0") + ) + (segment + (start 148.893426 102.986424) + (end 148.928576 102.986424) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "ac9e7502-b424-4598-af34-db6af141dafe") + ) + (segment + (start 147.524 98.314) + (end 148.844 98.314) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "aee43445-936f-447d-8f27-47d1dfe22f00") + ) + (segment + (start 147.955 85.791) + (end 147.955 86.741) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "af6a414c-2811-47ee-a216-aa21543075af") + ) + (segment + (start 138.557 94.081) + (end 138.557 93.091) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "b0e11e64-7924-4c95-84e6-2b1194d0b377") + ) + (segment + (start 145.288 107.328) + (end 145.287972 107.328) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "b86caeca-9da4-4b66-be53-ba50ae5fbf77") + ) + (segment + (start 143.891 89.268) + (end 144.767 89.268) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "bab0eee6-668c-4cb8-9f10-4f600c9d7c01") + ) + (segment + (start 140.086 86.106) + (end 140.086 87.127) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "bb71b634-4bb0-4924-af5f-1ad44591ab3f") + ) + (segment + (start 136.906 104.075) + (end 137.479 104.075) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "c26b1a77-faba-48db-941d-6f7c6825d7d4") + ) + (segment + (start 138.907 93.731) + (end 138.557 94.081) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "c7fcb72f-66bc-4c46-9f7a-3409cb9bda86") + ) + (segment + (start 133.35 110.109) + (end 134.747 110.109) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "c8368754-7f30-4c9a-94f0-8d1da563d9c4") + ) + (segment + (start 147.32 91.44) + (end 147.32 91.3638) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "ccc8eabb-c5de-4b71-b138-9f9a05b380ee") + ) + (segment + (start 146.0445 86.7585) + (end 146.939 86.7585) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "d0f7b94c-382b-427c-b30a-7683e4955059") + ) + (segment + (start 149.68 102.235) + (end 149.68 102.69) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "d1a916e1-9f43-4343-b41f-4b2bf46a67fa") + ) + (segment + (start 141.795 90.628) + (end 141.745 90.678) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "d261cdf1-a970-4eee-9b09-f511237320e7") + ) + (segment + (start 139.066 92.202) + (end 138.797 92.471) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "d3b12788-162e-4185-8e26-c97d8fd3f67a") + ) + (segment + (start 148.928576 102.986424) + (end 149.68 102.235) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "d6296a62-9456-489a-a16a-f8a4c4f2de83") + ) + (segment + (start 142.367 108.344) + (end 142.367 108.839) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "d8f6d62d-576e-4117-8b2a-c6ff9d5a6888") + ) + (segment + (start 137.479 104.075) + (end 137.668 103.886) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "d9891cc3-dcd9-454b-8854-f8c1c15a53f2") + ) + (segment + (start 140.843 88.011) + (end 140.843 87.884) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "db78170f-eced-4376-9b7d-c258c137ce8d") + ) + (segment + (start 138.14142 92.67542) + (end 138.14142 92.471) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "defed86f-b8b2-40d4-b6eb-48580f68d15b") + ) + (segment + (start 145.288 110.376) + (end 145.529 110.376) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "e548555f-f393-48d1-8e9e-6e9fe89cce80") + ) + (segment + (start 144.78 89.281) + (end 145.2372 89.281) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "e58df0cb-0a85-4312-b9c6-78e35111fbc7") + ) + (segment + (start 138.557 93.091) + (end 138.14142 92.67542) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "eaaade1a-dc94-41ba-8025-5889c5d70ddf") + ) + (segment + (start 135.496 97.155) + (end 134.76 96.419) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "ed02ec6f-36ee-4c22-ab77-be9dbdc712e6") + ) + (segment + (start 140.086 87.127) + (end 140.335 87.376) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "ee005cd0-6d16-41ea-ac91-eba1a770f994") + ) + (segment + (start 141.795 88.963) + (end 140.843 88.011) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "ee8b255f-adc0-44b8-901d-3412a3650a8b") + ) + (segment + (start 149.68 100.711) + (end 148.918016 101.472984) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "f3848877-0a26-44c1-a5f8-e230c3c47884") + ) + (segment + (start 147.466 98.256) + (end 147.524 98.314) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "f9d8d17b-a52a-448f-913a-307c543f8519") + ) + (segment + (start 147.3025 86.7585) + (end 147.32 86.741) + (width 0.4) + (layer "F.Cu") + (net 3) + (uuid "fb9b76c3-1768-4708-b890-30a5ffa5ac30") + ) + (via + (at 134.112 90.17) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "1bae2378-8c8b-49d3-afb5-565ff80c121d") + ) + (via + (at 134.747 110.109) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "1ef44e3f-fd6e-4e7c-be73-8e4967cbeecd") + ) + (via + (at 150.114 111.379) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "21a1ecc3-ccff-4947-9be5-971cfc12c133") + ) + (via + (at 141.732 100.203) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "376b5b6c-49e7-4d40-94c5-bf71cbfca537") + ) + (via + (at 144.018 98.425) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "40a67769-4724-4fac-a66f-96dd4e183c70") + ) + (via + (at 140.843 88.011) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "40fc1714-3121-470e-b045-f449fa7aa2d1") + ) + (via + (at 138.557 93.091) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "436f228f-d47f-4471-a65a-76f60c732198") + ) + (via + (at 145.287972 107.328) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "5cd86bf0-3357-4cf8-b60b-60b6ca4410f1") + ) + (via + (at 139.827 98.425) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "6cf5356c-a96f-41df-a021-b97934c05082") + ) + (via + (at 138.14142 92.471) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "6e42c908-303b-452e-b0d3-4b7b05569313") + ) + (via + (at 140.335 87.376) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "7319c678-0184-4138-93be-e9bc277a6275") + ) + (via + (at 144.78 89.281) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "7d2864d5-0407-4192-a5d7-58d8d6edb25a") + ) + (via + (at 147.32 91.44) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "830811d2-97eb-40e6-b205-19d100b847ee") + ) + (via + (at 137.668 103.886) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "8d62fb7f-4364-47c0-bc3b-8bca1fac8323") + ) + (via + (at 144.018 102.616) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "972b5f43-4084-4c5f-8187-54fe8dc5172c") + ) + (via + (at 142.367 100.203) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "9b6f6599-d863-42ff-941b-e9f3878bc354") + ) + (via + (at 148.893426 102.986424) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "9c946657-6874-4d1f-8436-adc8a481965d") + ) + (via + (at 141.859 109.347) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "a034551b-2ee0-42d9-abc0-a06d97163f2d") + ) + (via + (at 141.732 100.838) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "a457f403-8523-4fa8-9c09-04411bcd800f") + ) + (via + (at 147.955 86.741) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "a870ea2f-1977-4ae5-bf79-660ab3c16b5f") + ) + (via + (at 149.44341 98.337004) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "a8b1a1b3-fe1a-4c7c-9ab8-f010509305d6") + ) + (via + (at 146.05 109.855) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "b6425128-f385-4fbe-9113-e1e853a3e7bc") + ) + (via + (at 139.827 102.616) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "c63f9240-3c72-47b1-9a39-4459f4bc41d4") + ) + (via + (at 133.985 94.742) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "cfff7587-e512-4f0e-9a34-d16a4616e697") + ) + (via + (at 143.002 69.469) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "d0edaa24-25e7-4163-9423-85f538834d9a") + ) + (via + (at 148.918016 101.473) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "d682aed8-3f3e-4b66-80d3-304ec7c48e60") + ) + (via + (at 149.4282 95.5294) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "d7412bcb-605d-45a6-a9b5-a51946e964c8") + ) + (via + (at 147.32 86.741) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "e67f53d7-e9a4-4d00-9b51-019b873040a1") + ) + (via + (at 142.367 100.838) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "efb54461-fd22-4dfd-bf07-af6198e47b2d") + ) + (via + (at 143.002 68.707) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "f929e5a4-bc0c-4d24-aa8a-057c58cd4add") + ) + (segment + (start 142.905 68.61) + (end 143.002 68.707) + (width 0.2) + (layer "B.Cu") + (net 3) + (uuid "0ab6b79b-0198-425e-9297-f58d887179ae") + ) + (segment + (start 142.905 67.082) + (end 142.905 68.61) + (width 0.4) + (layer "B.Cu") + (net 3) + (uuid "a057c839-f620-48f7-9033-2eb82d231f0d") + ) + (segment + (start 148.971 87.7745) + (end 148.971 87.503) + (width 0.3) + (layer "F.Cu") + (net 5) + (uuid "48e9d29c-a1ba-4869-b505-dd2938923b5d") + ) + (segment + (start 148.971 87.503) + (end 148.98 87.494) + (width 0.3) + (layer "F.Cu") + (net 5) + (uuid "57205de0-930c-4cb2-8434-652b78b70c9c") + ) + (segment + (start 148.971 87.7745) + (end 149.9695 87.7745) + (width 0.3) + (layer "F.Cu") + (net 5) + (uuid "84b4cc21-7b67-4eb8-9896-7eab37d52d0c") + ) + (segment + (start 148.98 85.226) + (end 148.717 84.963) + (width 0.3) + (layer "F.Cu") + (net 5) + (uuid "8dab5642-f6ca-47b7-8fe3-e6fc7758b16d") + ) + (segment + (start 146.89 84.963) + (end 146.0445 85.8085) + (width 0.3) + (layer "F.Cu") + (net 5) + (uuid "93cfca1b-27e9-45b8-a92b-ba1314bce326") + ) + (segment + (start 148.98 87.494) + (end 148.98 85.226) + (width 0.3) + (layer "F.Cu") + (net 5) + (uuid "98dc333c-14d1-47c7-ba5e-85fa0dcbe8f3") + ) + (segment + (start 149.9695 87.7745) + (end 149.987 87.757) + (width 0.3) + (layer "F.Cu") + (net 5) + (uuid "c9210ec6-11dc-4d39-ac7f-0fef1fb05d72") + ) + (segment + (start 147.955 87.7745) + (end 148.971 87.7745) + (width 0.3) + (layer "F.Cu") + (net 5) + (uuid "d2b91076-d813-4f2a-8cf1-9f65775dd906") + ) + (segment + (start 147.889 87.7085) + (end 147.955 87.7745) + (width 0.3) + (layer "F.Cu") + (net 5) + (uuid "d8859023-e57a-4baf-b3b6-d20e38109f7d") + ) + (segment + (start 148.717 84.963) + (end 146.89 84.963) + (width 0.3) + (layer "F.Cu") + (net 5) + (uuid "dbb92cdb-dfec-4975-9fe8-d7fd2658c18f") + ) + (segment + (start 146.0445 87.7085) + (end 147.889 87.7085) + (width 0.3) + (layer "F.Cu") + (net 5) + (uuid "dc82e816-a753-47c1-8ac9-079627268850") + ) + (segment + (start 144.1118 91.0388) + (end 143.891 90.818) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "004d8042-332c-4772-8a7f-a3d791989ae2") + ) + (segment + (start 148.5646 111.379) + (end 149.225 111.379) + (width 0.4) + (layer "F.Cu") + (net 7) + (uuid "011c7bfe-813c-4d0f-8090-b25496410475") + ) + (segment + (start 149.27 92.3388) + (end 149.27 92.919) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "033556d1-f16a-4f72-bdc4-e0c85908feb1") + ) + (segment + (start 133.012 93.832) + (end 133.012 91.905) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "0377ac38-ce15-46d7-a52c-26ffb22a97d4") + ) + (segment + (start 147.855 100.711) + (end 148.13 100.711) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "04b2f042-e830-467e-8796-16288c4079a4") + ) + (segment + (start 143.674 103.9565) + (end 143.674 104.545) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "0718c736-27a1-4528-abfd-26cb4943c555") + ) + (segment + (start 143.891 90.818) + (end 143.891 90.172412) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "07d875a3-93fc-4173-9cd0-5a8a4cd704d4") + ) + (segment + (start 146.666 99.356) + (end 146.666 99.522) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "09c6ca48-2d89-4ef9-b4ee-be165bb54e2d") + ) + (segment + (start 133.012 91.905) + (end 133.731 91.186) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "0bd9e9b2-bbd3-4e1d-81a2-88e732c7ae63") + ) + (segment + (start 133.16 95.454) + (end 133.21 95.504) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "0c96a286-c837-405d-960d-ccc8a0ca8f4c") + ) + (segment + (start 140.55 106.299) + (end 141.872 106.299) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "0f7aabd0-6ff7-4522-9638-18edb90ace99") + ) + (segment + (start 149.27 92.919) + (end 149.225 92.964) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "12a29317-1a26-4959-a888-78df68f4bbc3") + ) + (segment + (start 138.748 90.7532) + (end 137.7198 90.7532) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "1382ff39-929d-4af9-88a2-8a90b1c57878") + ) + (segment + (start 144.907 105.778) + (end 144.596 105.467) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "1cb2c743-6f72-46fd-80a4-162b07469221") + ) + (segment + (start 133.096 95.618) + (end 133.21 95.504) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "2a647522-0138-437b-9ca9-1eec94f79185") + ) + (segment + (start 143.51 86.868) + (end 142.748 86.868) + (width 0.4) + (layer "F.Cu") + (net 7) + (uuid "3270719c-0d3f-42d2-aac5-d9ef355c2f23") + ) + (segment + (start 143.7695 87.7085) + (end 143.7695 87.1275) + (width 0.4) + (layer "F.Cu") + (net 7) + (uuid "4e993435-5a95-4d7d-8ca8-f0971745a805") + ) + (segment + (start 148.1836 96.3422) + (end 147.3962 97.1296) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "523d7056-3ca4-4c24-ad32-29586899c545") + ) + (segment + (start 145.4485 99.356) + (end 145.3615 99.269) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "56aa3943-08fc-470b-acf3-7421f8db0b5a") + ) + (segment + (start 143.674 104.545) + (end 144.907 105.778) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "5cf84893-b04b-4375-996d-c16301e8c52a") + ) + (segment + (start 148.13 100.711) + (end 148.297644 100.711) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "5d0ee5ee-226d-4e30-8950-d6489fe0710d") + ) + (segment + (start 141.859 106.299) + (end 140.97 105.41) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "688c7dd0-080e-4db0-92be-9c85b3f091ec") + ) + (segment + (start 146.363 107.751) + (end 146.363 105.088) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "6ada7a22-0504-4763-b00b-db006f68c65d") + ) + (segment + (start 133.096 99.125) + (end 133.096 95.618) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "760176ae-ca16-4331-b955-aa9d8c1ed73a") + ) + (segment + (start 136.906 93.506) + (end 136.906 92.71) + (width 0.4) + (layer "F.Cu") + (net 7) + (uuid "78970fd9-584a-41f5-9264-2e9323cbe5d5") + ) + (segment + (start 133.096 99.125) + (end 133.096 100.838) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "8366b34b-3e61-42ba-a2f8-124052d415b1") + ) + (segment + (start 145.37 90.3888) + (end 145.37 91.0388) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "858a9617-3c05-4d62-8492-aae1b8902010") + ) + (segment + (start 148.209 110.109) + (end 148.209 108.1985) + (width 0.4) + (layer "F.Cu") + (net 7) + (uuid "8c00632e-63b7-46aa-bcb9-098d4e8a7033") + ) + (segment + (start 148.297644 100.711) + (end 148.844644 100.164) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "97ff058a-6847-4a01-ba39-9e79a2031bc8") + ) + (segment + (start 136.906 92.837) + (end 137.287 92.456) + (width 0.4) + (layer "F.Cu") + (net 7) + (uuid "9a47a859-3e3a-4a82-9fe9-f3d86392a9b8") + ) + (segment + (start 133.16 93.98) + (end 133.16 95.454) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "a21e21c5-873b-46d9-bde0-bc8ed5b9da83") + ) + (segment + (start 133.16 93.98) + (end 133.012 93.832) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "a224980a-96a0-4fc9-9f2a-df105cafc244") + ) + (segment + (start 136.906 93.506) + (end 136.906 92.837) + (width 0.4) + (layer "F.Cu") + (net 7) + (uuid "a5edd14b-acb1-4be4-adab-4f62a15f2595") + ) + (segment + (start 146.363 105.088) + (end 145.2315 103.9565) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "a670e35d-e392-464e-84ce-7c913f720fcc") + ) + (segment + (start 148.717 96.3422) + (end 148.1836 96.3422) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "ace42e6f-ac79-4e30-bd3d-b038e988d886") + ) + (segment + (start 148.209 110.109) + (end 148.209 111.0234) + (width 0.4) + (layer "F.Cu") + (net 7) + (uuid "af741a05-7258-4b68-8d92-22009756136c") + ) + (segment + (start 146.037 108.826) + (end 146.05 108.839) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "b138f510-bd73-4b53-aabe-886dcade073d") + ) + (segment + (start 141.872 106.299) + (end 142.367 106.794) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "b483993e-3927-4afd-8eea-85dc47572d9b") + ) + (segment + (start 143.7695 87.1275) + (end 143.51 86.868) + (width 0.4) + (layer "F.Cu") + (net 7) + (uuid "b9505ea7-304d-4d9c-b2f9-11a888463721") + ) + (segment + (start 133.731 91.186) + (end 134.112 91.186) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "bcc8f691-383e-488b-bdbc-13e0b6bc3d39") + ) + (segment + (start 136.906 92.71) + (end 136.652 92.456) + (width 0.4) + (layer "F.Cu") + (net 7) + (uuid "bd3806c3-976c-4b9b-b132-5a493f6a272e") + ) + (segment + (start 150.109 108.1985) + (end 150.109 107.1676) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "be61df3c-cc32-40c4-9e78-e48feded44ae") + ) + (segment + (start 145.37 91.0388) + (end 144.1118 91.0388) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "c02b93d1-32b4-4949-ae15-a005706effe8") + ) + (segment + (start 141.872 106.299) + (end 141.859 106.299) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "c1e23df7-68f0-44ae-9987-05de4545b3ef") + ) + (segment + (start 142.748 86.868) + (end 141.986 86.106) + (width 0.4) + (layer "F.Cu") + (net 7) + (uuid "c349a605-300f-4ed7-afbb-4dec2cb3f2c4") + ) + (segment + (start 150.109 107.1676) + (end 150.114 107.1626) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "c9af6c51-980b-40c8-a9e2-e8a1cb8c9aef") + ) + (segment + (start 147.936 100.517) + (end 148.13 100.711) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "cc2289cc-9fda-41f1-bc04-fb9060652e6c") + ) + (segment + (start 145.2315 103.9565) + (end 144.674 103.9565) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "d1967fcd-451c-4aea-b7cf-cd1c7df9c324") + ) + (segment + (start 146.875 95.06) + (end 147.32 94.615) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "d991a8ae-868f-4c7a-a984-5d963803ae96") + ) + (segment + (start 146.666 99.356) + (end 145.4485 99.356) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "da9f9c7d-5528-420c-ab0d-e47431d16990") + ) + (segment + (start 145.288 108.826) + (end 146.363 107.751) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "dee143b7-c6e4-47f4-a521-3956dda0a347") + ) + (segment + (start 146.666 99.522) + (end 147.855 100.711) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "e88838d3-07aa-4588-b252-919db1a4060d") + ) + (segment + (start 143.891 90.172412) + (end 143.895412 90.168) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "e8cb60cb-8444-4425-8eaa-bba78f0992a0") + ) + (segment + (start 145.288 105.778) + (end 144.907 105.778) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "f3496804-8be2-4ba1-bd7d-83357d53df1f") + ) + (segment + (start 148.209 111.0234) + (end 148.5646 111.379) + (width 0.4) + (layer "F.Cu") + (net 7) + (uuid "f4741bca-24e0-4909-bef3-a1098ed4ac9d") + ) + (segment + (start 137.7198 90.7532) + (end 137.287 91.186) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "fc4b62ca-8957-432e-93f4-a8c9468bf903") + ) + (segment + (start 145.288 108.826) + (end 146.037 108.826) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "fca23254-afcd-4bb8-9cbe-cd9a2abaf8e3") + ) + (segment + (start 137.287 92.456) + (end 136.652 92.456) + (width 0.4) + (layer "F.Cu") + (net 7) + (uuid "fd440b5e-a111-490f-953d-ed4a1b683c06") + ) + (segment + (start 146.875 95.377) + (end 146.875 95.06) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "fd81b694-3830-4d6f-a176-ce06c4c17ec0") + ) + (via + (at 146.05 108.839) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "0ab6f145-64ca-4f81-b62c-5b3a43868805") + ) + (via + (at 147.32 94.615) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "12509dc2-ce4b-49c6-8d95-26d040aa6a24") + ) + (via + (at 143.895412 90.168) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "5fa5fc11-c93b-4376-9066-b2542ebe15a4") + ) + (via + (at 142.748 86.868) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "608ab5f8-9616-4947-9ae2-9e6f08dbfddc") + ) + (via + (at 149.225 111.379) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "649d73ab-b37c-48d7-8a1e-2a7f5b3350ba") + ) + (via + (at 133.096 100.838) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "65857a94-e465-4ff3-b35d-43c0d654d813") + ) + (via + (at 149.225 92.964) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "6ac9325b-4574-41d0-922b-aed5d0580048") + ) + (via + (at 143.51 86.868) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "75754a10-466d-4ae9-8168-406ddd8a2f99") + ) + (via + (at 150.114 107.1626) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "7c60738b-308c-4e1b-9386-324f3b46ecac") + ) + (via + (at 137.287 91.186) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "ce90eb87-c482-457a-b75b-f77f0b01f8b2") + ) + (via + (at 134.112 91.186) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "d28a51c4-fc5a-480a-a3bf-08d955b4f98f") + ) + (via + (at 147.3962 97.1296) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "d5772b96-1602-403d-971b-c23cc7db1c98") + ) + (via + (at 140.97 105.41) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "de719092-0e1d-472b-8ab3-9f98d446d115") + ) + (via + (at 144.596 105.467) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "e5c1afbc-c702-4cda-becc-7e4813a67098") + ) + (via + (at 137.287 92.456) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "eaf7b357-6b63-4393-84d5-c32af1a0ac5f") + ) + (via + (at 136.652 92.456) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "fa93844e-96c1-4a90-8661-87e1da9c05b2") + ) + (via + (at 148.844644 100.164) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "fb9a1262-1f3d-4237-a139-dca31f8d02e4") + ) + (segment + (start 142.748 107.569) + (end 143.092 107.225) + (width 0.2) + (layer "F.Cu") + (net 9) + (uuid "1bb19ee4-2bad-44a6-af12-9d1052cd3087") + ) + (segment + (start 143.092 106.366014) + (end 142.819986 106.094) + (width 0.2) + (layer "F.Cu") + (net 9) + (uuid "2bc2fa84-0d20-46d1-ba27-03325351ae3a") + ) + (segment + (start 142.819986 106.094) + (end 142.289 106.094) + (width 0.2) + (layer "F.Cu") + (net 9) + (uuid "81404a01-f730-4bfc-a94b-df5057cf2080") + ) + (segment + (start 141.674 105.479) + (end 141.674 103.9565) + (width 0.2) + (layer "F.Cu") + (net 9) + (uuid "820e878e-44af-4c28-b4d2-fec63925994b") + ) + (segment + (start 142.289 106.094) + (end 141.674 105.479) + (width 0.2) + (layer "F.Cu") + (net 9) + (uuid "e2d574de-21a4-4fdf-bb72-f9b6cd2b5f87") + ) + (segment + (start 140.55 107.569) + (end 142.748 107.569) + (width 0.2) + (layer "F.Cu") + (net 9) + (uuid "f73c6024-c827-4811-baa3-8ae4e1f2dc72") + ) + (segment + (start 143.092 107.225) + (end 143.092 106.366014) + (width 0.2) + (layer "F.Cu") + (net 9) + (uuid "f9bf2f41-bf5f-489b-8c23-db58686b5e37") + ) + (segment + (start 139.5 108.131) + (end 140.208 108.839) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "440ee674-0c5e-4490-8102-4f7c73cb26f1") + ) + (segment + (start 141.174 104.388973) + (end 139.868973 105.694) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "88e20d80-ecc5-4520-b8f8-18f88565f913") + ) + (segment + (start 139.785158 105.694) + (end 139.5 105.979158) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "91915b22-63d5-4032-a555-263f6739fe04") + ) + (segment + (start 140.55 108.839) + (end 140.97 108.839) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "b31a1f41-0c3c-4f28-87e4-28e84fe2c3ea") + ) + (segment + (start 139.5 105.979158) + (end 139.5 108.131) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "ba2f56a5-999d-4e92-9cfd-4a714dec25f9") + ) + (segment + (start 140.208 108.839) + (end 140.55 108.839) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "e3b656ef-7d01-466b-8537-9433d5285a78") + ) + (segment + (start 139.868973 105.694) + (end 139.785158 105.694) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "e959994b-858a-4656-9f17-415dc4df87ce") + ) + (segment + (start 141.174 103.9565) + (end 141.174 104.388973) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "fd6e9428-bff1-4e8e-a803-66c18fa7fe7e") + ) + (segment + (start 139.640183 105.344) + (end 139.15 105.834183) + (width 0.2) + (layer "F.Cu") + (net 11) + (uuid "6cdf8d0c-cb44-4add-8e08-1c65ead024a1") + ) + (segment + (start 140.674 104.393999) + (end 139.723999 105.344) + (width 0.2) + (layer "F.Cu") + (net 11) + (uuid "ae80fbe3-a9c7-426d-9b66-7708e4806998") + ) + (segment + (start 139.15 109.178) + (end 140.081 110.109) + (width 0.2) + (layer "F.Cu") + (net 11) + (uuid "b67bd327-9473-48f7-b518-2843162187e7") + ) + (segment + (start 140.081 110.109) + (end 140.55 110.109) + (width 0.2) + (layer "F.Cu") + (net 11) + (uuid "dd119eec-b0a4-4dfe-907e-f729d8a7bd83") + ) + (segment + (start 140.674 103.9565) + (end 140.674 104.393999) + (width 0.2) + (layer "F.Cu") + (net 11) + (uuid "de6eab9f-99d0-4f9f-89e7-258b049391e4") + ) + (segment + (start 139.15 105.834183) + (end 139.15 109.178) + (width 0.2) + (layer "F.Cu") + (net 11) + (uuid "e91d61fc-4b41-443e-98aa-ba42ffc3f1bc") + ) + (segment + (start 139.723999 105.344) + (end 139.640183 105.344) + (width 0.2) + (layer "F.Cu") + (net 11) + (uuid "f3b03229-eb93-4092-9df8-04638a40c7d9") + ) + (segment + (start 137.726 100.769) + (end 137.541 100.584) + (width 0.2) + (layer "F.Cu") + (net 12) + (uuid "c0b09027-ffee-47ae-a1e9-a7c308fb8dac") + ) + (segment + (start 138.4865 100.769) + (end 137.726 100.769) + (width 0.2) + (layer "F.Cu") + (net 12) + (uuid "e43b2531-d8fb-4627-8b09-b3a0ff94efd7") + ) + (via + (at 133.985 97.028) + (size 1.1) + (drill 0.5) + (layers "F.Cu" "B.Cu") + (net 12) + (uuid "56ec901b-949a-4b76-852e-9bcd52cb5286") + ) + (via + (at 137.541 100.584) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 12) + (uuid "c46d5d3a-c711-41da-bc4e-e37cde642d83") + ) + (segment + (start 136.271 97.917) + (end 135.382 97.028) + (width 0.2) + (layer "In2.Cu") + (net 12) + (uuid "006d0369-9706-4d7c-a163-4a0e770f098d") + ) + (segment + (start 136.271 100.076) + (end 136.271 97.917) + (width 0.2) + (layer "In2.Cu") + (net 12) + (uuid "482db561-f39b-44d5-be7d-e2b78dab567c") + ) + (segment + (start 137.541 100.584) + (end 136.779 100.584) + (width 0.2) + (layer "In2.Cu") + (net 12) + (uuid "af6bbfb2-dc5d-4664-8cd2-ca9da7fa9cb2") + ) + (segment + (start 135.382 97.028) + (end 133.985 97.028) + (width 0.2) + (layer "In2.Cu") + (net 12) + (uuid "c2c53729-6d5c-4f67-a2fb-b629a371e250") + ) + (segment + (start 136.779 100.584) + (end 136.271 100.076) + (width 0.2) + (layer "In2.Cu") + (net 12) + (uuid "cfee20f2-215e-4d33-8c4c-1da43a2f2b41") + ) + (segment + (start 139.367674 104.644) + (end 138.561 104.644) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "311f3201-12c8-47b2-98d2-75bb3f4513e9") + ) + (segment + (start 136.906 106.299) + (end 133.35 106.299) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "845b70d2-6f97-4b2e-adaf-b0a8553adc12") + ) + (segment + (start 138.561 104.644) + (end 136.906 106.299) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "b9157e13-486e-4eb4-8632-0fd9ea591126") + ) + (segment + (start 139.674 103.9565) + (end 139.674 104.337674) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "c6c47cd0-4098-4631-b781-74b4ec9f2485") + ) + (segment + (start 139.674 104.337674) + (end 139.367674 104.644) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "f0cd2017-2c52-4813-9d6a-d192785d7f5e") + ) + (segment + (start 139.227 104.994) + (end 136.652 107.569) + (width 0.2) + (layer "F.Cu") + (net 14) + (uuid "2f4a80de-8148-4197-94d2-33e8a3ff1551") + ) + (segment + (start 139.517674 104.994) + (end 139.227 104.994) + (width 0.2) + (layer "F.Cu") + (net 14) + (uuid "7a69ab40-d1ec-4f4f-9656-6c4fc7d6c2a5") + ) + (segment + (start 140.174 104.337674) + (end 139.517674 104.994) + (width 0.2) + (layer "F.Cu") + (net 14) + (uuid "89ed0b42-e5a6-4a2e-b867-68ae9b335d30") + ) + (segment + (start 140.174 103.9565) + (end 140.174 104.337674) + (width 0.2) + (layer "F.Cu") + (net 14) + (uuid "91875496-a4d6-4b0f-8d54-8e92575a4092") + ) + (segment + (start 136.652 107.569) + (end 133.35 107.569) + (width 0.2) + (layer "F.Cu") + (net 14) + (uuid "d1c1efc5-cf03-4bfa-85f1-ec405d05377c") + ) + (segment + (start 142.174 103.9565) + (end 142.174 104.809948) + (width 0.2) + (layer "F.Cu") + (net 15) + (uuid "194d0a45-1171-48c5-b167-453489233e22") + ) + (segment + (start 143.442 108.666026) + (end 141.449026 110.659) + (width 0.2) + (layer "F.Cu") + (net 15) + (uuid "1a5ede9c-f2bf-41b4-84ab-375ca29fc572") + ) + (segment + (start 137.075 110.659) + (end 135.255 108.839) + (width 0.2) + (layer "F.Cu") + (net 15) + (uuid "327cda2c-a37c-4d46-b71c-b9591ef7b754") + ) + (segment + (start 143.442 106.077948) + (end 143.442 108.666026) + (width 0.2) + (layer "F.Cu") + (net 15) + (uuid "48bb652e-29ba-47cc-a193-145c8aa980f0") + ) + (segment + (start 135.255 108.839) + (end 133.35 108.839) + (width 0.2) + (layer "F.Cu") + (net 15) + (uuid "5eaf8c5b-9a32-472b-be3b-3f07e52b9061") + ) + (segment + (start 141.449026 110.659) + (end 137.075 110.659) + (width 0.2) + (layer "F.Cu") + (net 15) + (uuid "6a09767d-af22-4b83-ba4c-18fb31b84965") + ) + (segment + (start 142.174 104.809948) + (end 143.442 106.077948) + (width 0.2) + (layer "F.Cu") + (net 15) + (uuid "91f6e3f4-d0f2-4696-b95d-2f9b5ae32957") + ) + (segment + (start 134.81 93.98) + (end 135.509 94.679) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "1073b7ad-8778-4e15-8ff1-bd4bc6463a4b") + ) + (segment + (start 135.509 94.679) + (end 135.509 96.015014) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "2abeb126-a564-40f3-bade-6637be4cf29c") + ) + (segment + (start 137.306761 101.269) + (end 138.4865 101.269) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "35b5eb79-1867-4b9f-ac42-f5011f3087dd") + ) + (segment + (start 135.509 96.015014) + (end 136.346 96.852014) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "4203ade9-558c-4693-b0e3-f4a2136825c1") + ) + (segment + (start 134.112 92.505) + (end 134.112 93.282) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "76188a44-0cb5-41c0-aa40-fdd20201867c") + ) + (segment + (start 134.112 93.282) + (end 134.81 93.98) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "791abcd9-8a43-4796-b187-0e433f350aa0") + ) + (segment + (start 136.346 96.852014) + (end 136.346 100.308239) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "8e5a293a-89db-4dc0-8592-94a2c5635710") + ) + (segment + (start 136.346 100.308239) + (end 137.306761 101.269) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "fef3218d-938d-4738-83f6-efff59376f68") + ) + (segment + (start 138.4865 100.269) + (end 138.05 100.269) + (width 0.2) + (layer "F.Cu") + (net 17) + (uuid "b82d0de1-4909-49d6-8426-924a25bfe375") + ) + (segment + (start 138.05 100.269) + (end 136.906 99.125) + (width 0.2) + (layer "F.Cu") + (net 17) + (uuid "ebdebe60-46cf-473e-a3d0-f885701c4803") + ) + (segment + (start 137.681027 102.489) + (end 134.977001 102.489) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "22714304-09ba-4595-84ec-d62f4f53f370") + ) + (segment + (start 134.977001 102.489) + (end 134.366 103.100001) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "434a086e-26a5-4006-90dd-b6beba8c08ef") + ) + (segment + (start 134.366 103.100001) + (end 134.366 104.075) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "7f27aded-21ad-46d8-8351-b2d96cc20566") + ) + (segment + (start 137.961027 102.769) + (end 137.681027 102.489) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "e416d7bb-034b-4a47-a35b-def4ce53d214") + ) + (segment + (start 138.4865 102.769) + (end 137.961027 102.769) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "f24d49d4-158d-4065-8556-b736dde5874a") + ) + (segment + (start 135.636 104.075) + (end 135.636 103.100001) + (width 0.2) + (layer "F.Cu") + (net 19) + (uuid "2651c006-9887-4dcd-961f-7eabee9865ef") + ) + (segment + (start 135.636 103.100001) + (end 135.897001 102.839) + (width 0.2) + (layer "F.Cu") + (net 19) + (uuid "6c40cf5b-6af5-4363-8d0d-602a732dfb43") + ) + (segment + (start 135.897001 102.839) + (end 137.536051 102.839) + (width 0.2) + (layer "F.Cu") + (net 19) + (uuid "a2487418-b068-4ac6-a0ab-237a93aa0e57") + ) + (segment + (start 137.536051 102.839) + (end 137.966051 103.269) + (width 0.2) + (layer "F.Cu") + (net 19) + (uuid "c9990fe5-79f4-4a7c-854d-57795003e80d") + ) + (segment + (start 137.966051 103.269) + (end 138.4865 103.269) + (width 0.2) + (layer "F.Cu") + (net 19) + (uuid "fb29a0e6-d802-478c-b9c8-e5a397ca9bc2") + ) + (segment + (start 137.826001 102.139) + (end 136.175 102.139) + (width 0.2) + (layer "F.Cu") + (net 20) + (uuid "04c08013-df8e-4e2f-9977-0111c0055338") + ) + (segment + (start 134.366 100.33) + (end 134.366 99.125) + (width 0.2) + (layer "F.Cu") + (net 20) + (uuid "25df535b-a2bd-4dcf-90a3-a1fc9b6bca63") + ) + (segment + (start 137.956001 102.269) + (end 137.826001 102.139) + (width 0.2) + (layer "F.Cu") + (net 20) + (uuid "47ae8b36-05d8-4207-842f-c65e0fba8a0b") + ) + (segment + (start 136.175 102.139) + (end 134.366 100.33) + (width 0.2) + (layer "F.Cu") + (net 20) + (uuid "79e78f6f-d46e-402c-a89c-9e241f89481d") + ) + (segment + (start 138.4865 102.269) + (end 137.956001 102.269) + (width 0.2) + (layer "F.Cu") + (net 20) + (uuid "c7fbb366-e48a-4f15-8adf-1549ef75dff7") + ) + (segment + (start 135.636 99.125) + (end 135.636 100.099999) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "2646bc6a-da3f-47d1-a8be-d6929245eee5") + ) + (segment + (start 137.305001 101.769) + (end 138.4865 101.769) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "31ef845e-7df4-4da8-9da4-f981ea474292") + ) + (segment + (start 135.636 100.099999) + (end 137.305001 101.769) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "a88d6e94-2aab-4026-b5f8-ffc20a9470eb") + ) + (segment + (start 137.16 105.41) + (end 138.6135 103.9565) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "07a8c0dc-8de1-4354-96dd-73efb26de758") + ) + (segment + (start 134.156158 105.41) + (end 137.16 105.41) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "644d44f4-3646-4569-89d3-6ebc2e5a902e") + ) + (segment + (start 133.096 104.075) + (end 133.096 104.349842) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "b5730c28-d8fd-42d5-b3ce-0439aa60eb27") + ) + (segment + (start 138.6135 103.9565) + (end 139.174 103.9565) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "de3ca1d3-fa82-41ef-ae09-beedffc54404") + ) + (segment + (start 133.096 104.349842) + (end 134.156158 105.41) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "df8eda57-66c3-4a9d-8445-9696b01f1f02") + ) + (segment + (start 143.51 80.03) + (end 142.855 80.03) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "08956640-9154-4fe2-bc95-1e7f1383c082") + ) + (segment + (start 140.97 83.345026) + (end 141.236 83.611026) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "36757a72-8955-45b5-a269-fba5db2cee1b") + ) + (segment + (start 142.855 80.03) + (end 140.97 81.915) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "50e21f1d-ca83-4523-9b3f-60c59c01eb1a") + ) + (segment + (start 140.97 81.915) + (end 140.97 83.345026) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "7750e3a0-7fdf-44b1-8f88-44e3fc14170b") + ) + (segment + (start 141.236 86.795034) + (end 143.166 88.725034) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "7c5511ba-0906-4fd7-b4d5-d085f06b86c5") + ) + (segment + (start 143.166 88.725034) + (end 143.166 91.541) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "843a74b4-5095-42ae-b3c5-f53f3cb92d37") + ) + (segment + (start 143.166 91.541) + (end 143.891 92.266) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "9c460d26-0b65-4ad0-a168-eeffb467da77") + ) + (segment + (start 141.236 83.611026) + (end 141.236 86.795034) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "ae9cc418-eecc-4eaf-ba4c-f4aadb86b885") + ) + (segment + (start 140.315 80.03) + (end 138.43 81.915) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "16f3387f-1a08-4ce4-96ef-d2cb60cf457f") + ) + (segment + (start 140.97 80.03) + (end 140.315 80.03) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "319bb830-a394-4b6b-b41c-014a4c9470e5") + ) + (segment + (start 140.145 88.026) + (end 140.145 89.154) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "44ba1b9d-e6c3-4238-8fc1-20e4f7f973ea") + ) + (segment + (start 138.684 84.799062) + (end 139.282 85.397062) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "75d81e6c-2fc1-41eb-80be-10fd50d0637e") + ) + (segment + (start 138.43 81.915) + (end 138.43 84.799062) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "8575c6fa-f5c9-47cb-8ebe-d3b5ca65bba4") + ) + (segment + (start 140.145 89.154) + (end 138.7988 89.154) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "98befb5c-93fe-48d2-b2fe-99f77da8fe59") + ) + (segment + (start 138.7988 89.154) + (end 138.748 89.1032) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "a6ead61d-b295-4cae-a702-f7c15a062e13") + ) + (segment + (start 138.43 84.799062) + (end 138.684 84.799062) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "a90af1e0-5027-4623-8657-86082d50bbe8") + ) + (segment + (start 140.195 89.204) + (end 140.145 89.154) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "ba19423a-c65e-4458-84b7-ad92fc501675") + ) + (segment + (start 140.195 90.678) + (end 140.195 89.204) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "bcb1e5a2-23fe-4307-948f-6fa4605b9fbb") + ) + (segment + (start 139.282 87.163) + (end 140.145 88.026) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "f5fd4698-e786-4790-a5f4-930082e358bf") + ) + (segment + (start 139.282 85.397062) + (end 139.282 87.163) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "f94494fa-779d-48e9-9362-f6ac1d66d7be") + ) + (segment + (start 140.970034 92.927) + (end 140.324062 92.927) + (width 0.2) + (layer "F.Cu") + (net 26) + (uuid "0dafe3ad-fffd-43c9-b323-78bba65bb807") + ) + (segment + (start 140.324062 92.927) + (end 139.716 92.318938) + (width 0.2) + (layer "F.Cu") + (net 26) + (uuid "38dec8c8-f565-4ad1-98a4-d71f5916f2e9") + ) + (segment + (start 136.779 91.821) + (end 136.271 91.313) + (width 0.2) + (layer "F.Cu") + (net 26) + (uuid "4142c527-4b54-49e6-8233-984ea79b28ef") + ) + (segment + (start 141.174 97.0815) + (end 141.174 96.697) + (width 0.2) + (layer "F.Cu") + (net 26) + (uuid "4628f156-4e60-4aec-9326-045749eccd1d") + ) + (segment + (start 139.457938 91.477) + (end 138.674062 91.477) + (width 0.2) + (layer "F.Cu") + (net 26) + (uuid "5aead8f5-3c4e-4bc6-9f29-cae8f7725a78") + ) + (segment + (start 139.716 91.735062) + (end 139.457938 91.477) + (width 0.2) + (layer "F.Cu") + (net 26) + (uuid "619b2c06-5565-462b-9997-f4f6a17fc565") + ) + (segment + (start 136.271 91.313) + (end 136.271 87.8605) + (width 0.2) + (layer "F.Cu") + (net 26) + (uuid "643fc68c-7d42-4694-aa51-e9aec9e4ea0f") + ) + (segment + (start 141.515 96.356) + (end 141.515 93.471966) + (width 0.2) + (layer "F.Cu") + (net 26) + (uuid "79332d50-1d0f-4287-8a6b-d55fbaa69fba") + ) + (segment + (start 141.515 93.471966) + (end 140.970034 92.927) + (width 0.2) + (layer "F.Cu") + (net 26) + (uuid "7bf89e6b-194c-4508-8abb-977998f7c1d2") + ) + (segment + (start 138.674062 91.477) + (end 138.330062 91.821) + (width 0.2) + (layer "F.Cu") + (net 26) + (uuid "8f14c864-5077-422f-9eae-30a6477dc31e") + ) + (segment + (start 139.716 92.318938) + (end 139.716 91.735062) + (width 0.2) + (layer "F.Cu") + (net 26) + (uuid "a8122f48-9658-464f-a6bc-13b9981bb7b9") + ) + (segment + (start 139.674 97.0815) + (end 141.174 97.0815) + (width 0.2) + (layer "F.Cu") + (net 26) + (uuid "ce570f36-04ea-4db8-8237-b83751876ca7") + ) + (segment + (start 141.174 96.697) + (end 141.515 96.356) + (width 0.2) + (layer "F.Cu") + (net 26) + (uuid "e9f272e3-96ba-4b0b-bc75-721016186a75") + ) + (segment + (start 138.330062 91.821) + (end 136.779 91.821) + (width 0.2) + (layer "F.Cu") + (net 26) + (uuid "f8644cd8-cff0-4383-b0f2-fbc85d01aebe") + ) + (segment + (start 136.271 87.8605) + (end 135.4055 86.995) + (width 0.2) + (layer "F.Cu") + (net 26) + (uuid "fefe735a-8867-407b-a018-c5b6a36d385b") + ) + (segment + (start 140.836 87.078062) + (end 140.836 83.706) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "266c9c6f-ebf6-4ac5-8ee9-8003c1f28ec3") + ) + (segment + (start 142.736 91.77) + (end 142.736 88.978062) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "83e6b7f4-da12-4e01-b63e-32ce44c98459") + ) + (segment + (start 142.24 92.266) + (end 142.736 91.77) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "cfb817e4-9b55-4053-9506-586221d3e7a9") + ) + (segment + (start 140.836 83.706) + (end 139.7 82.57) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "ea743b4e-ea45-4b40-a4fb-9a68cb45ba40") + ) + (segment + (start 142.736 88.978062) + (end 140.836 87.078062) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "fd250108-a806-4d48-b9a8-101d9d6653e5") + ) + (segment + (start 142.674 97.0815) + (end 142.674 96.060938) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "37a78d3f-3b87-43c6-a056-4bc65a75f329") + ) + (segment + (start 143.002 95.732938) + (end 143.002 94.742) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "96898b74-1f78-4507-81f9-6d4eca3ea4a5") + ) + (segment + (start 142.674 96.060938) + (end 143.002 95.732938) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "973fe095-33ae-408b-885d-79437410c218") + ) + (segment + (start 137.033 87.439) + (end 137.033 88.392) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "fbd3bb69-17bf-4972-a2bc-0354d1863a56") + ) + (via + (at 137.033 88.392) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 31) + (uuid "93d680c7-e6b5-4623-9bd7-a133dd0b43ad") + ) + (via + (at 143.002 94.742) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 31) + (uuid "cbc52b5d-316a-4bf5-9446-259332adcef4") + ) + (segment + (start 137.033 88.773) + (end 137.033 88.392) + (width 0.2) + (layer "In2.Cu") + (net 31) + (uuid "533c020e-1c1f-4669-b304-9472925380ff") + ) + (segment + (start 143.002 94.742) + (end 137.033 88.773) + (width 0.2) + (layer "In2.Cu") + (net 31) + (uuid "8cc97599-60d5-4c6e-8ec5-1b142b7963fc") + ) + (segment + (start 143.891 95.885) + (end 143.891 95.377) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "0a698c63-2067-4e68-92ce-02dacbee0f6d") + ) + (segment + (start 144.174 97.0815) + (end 144.174 96.422) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "0adb8d95-cc16-4f7c-95b1-00c7e3b0721f") + ) + (segment + (start 144.018 96.266) + (end 144.018 96.012) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "21b16acc-eec6-4d41-8a88-6a422f712326") + ) + (segment + (start 143.891 93.916) + (end 143.891 95.377) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "3dfad925-f556-415c-b3ad-018b21b2a268") + ) + (segment + (start 144.174 95.66) + (end 143.891 95.377) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "67dcfb36-e8d9-431b-8411-2bc092c5c51a") + ) + (segment + (start 144.174 96.422) + (end 144.018 96.266) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "92448ed3-04ee-4072-b8c7-8601e1feca28") + ) + (segment + (start 144.018 96.012) + (end 143.891 95.885) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "ac5752a3-3702-40e7-82d6-da2727c6e67e") + ) + (segment + (start 145.225 95.377) + (end 143.891 95.377) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "fa9704ba-deb3-4e6b-8294-bd739efb98f8") + ) + (segment + (start 141.3891 92.2909) + (end 141.3002 92.202) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "0db8a88b-5c7f-40eb-b888-39a8d41147e1") + ) + (segment + (start 142.24 93.345) + (end 141.859 92.964) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "294d24d7-e002-47a0-b1f2-b27091d1841b") + ) + (segment + (start 141.3891 92.532038) + (end 141.3891 92.2909) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "59f8c22b-be1c-4054-9b30-9804e51464c8") + ) + (segment + (start 141.859 92.964) + (end 141.821062 92.964) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "7192f39c-53eb-476c-a427-a8917e4568e2") + ) + (segment + (start 142.174 97.0815) + (end 142.174 93.982) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "72506f89-b58c-4119-bb2b-c8b0e413cd33") + ) + (segment + (start 142.24 93.916) + (end 142.24 93.345) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "89e850cd-16d5-43e3-b1c4-697a323ddc77") + ) + (segment + (start 142.174 93.982) + (end 142.24 93.916) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "8bcba4d4-6e0a-42a8-bf5a-81d7e32e1946") + ) + (segment + (start 141.3002 92.202) + (end 140.716 92.202) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "c92be8b8-eb50-4ca2-85e8-087eac774a8a") + ) + (segment + (start 141.821062 92.964) + (end 141.3891 92.532038) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "ca1ea68d-6d81-4442-982e-e34a22df94e0") + ) + (segment + (start 135.89 89.083) + (end 135.89 94.234) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "01fe362c-0a01-4c96-8867-330eaa7c9e55") + ) + (segment + (start 138.089817 98.269) + (end 138.4865 98.269) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "0761b8b7-e95a-4aa6-b3df-9a5db289af75") + ) + (segment + (start 137.5018 96.43) + (end 137.746 96.6742) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "1058cc04-4b9a-4943-a307-e191ab4c4729") + ) + (segment + (start 138.859986 94.781) + (end 136.437 94.781) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "160d1189-af89-4201-a174-373ba004217a") + ) + (segment + (start 146.05 80.03) + (end 144.506 78.486) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "17f27e8b-c97a-4b24-8e2f-a70a5989799d") + ) + (segment + (start 139.3444 95.265414) + (end 138.859986 94.781) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "1c6ef83c-6192-41b0-8b65-6dfd4dfc2f92") + ) + (segment + (start 135.89 95.883974) + (end 136.436026 96.43) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "2b52e6bd-1030-40fe-9e13-ede9b2ad961f") + ) + (segment + (start 137.908974 78.486) + (end 134.493 81.901974) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "8b128978-3583-4690-95f8-9fec402df511") + ) + (segment + (start 137.746 97.925183) + (end 138.089817 98.269) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "8be01ca9-ec58-48ac-99d1-429220748cab") + ) + (segment + (start 134.493 81.901974) + (end 134.493 87.686) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "97e9104b-7acc-48f9-a565-02efcf16aec0") + ) + (segment + (start 139.3444 96.3422) + (end 139.3444 95.265414) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "9d23784f-eac0-4aad-96c1-0d3a00bd3280") + ) + (segment + (start 144.506 78.486) + (end 137.908974 78.486) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "a578163a-d78b-472b-a7eb-e1384e13d09d") + ) + (segment + (start 138.4865 99.269) + (end 138.4865 98.269) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "a72cf0cc-2825-4f6a-9c18-87680103e2d4") + ) + (segment + (start 135.89 94.234) + (end 135.89 95.883974) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "a79b4e48-23f4-47a8-8e75-9ada47630554") + ) + (segment + (start 136.436026 96.43) + (end 137.5018 96.43) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "ad039dcd-fdce-4ba2-a726-cdebc25d9196") + ) + (segment + (start 139.174 97.0815) + (end 139.174 96.5126) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "c5db5040-6018-4b1c-b9d6-84b35f1d0604") + ) + (segment + (start 136.437 94.781) + (end 135.89 94.234) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "d4a1802e-013d-4497-b55e-3d013f7a2849") + ) + (segment + (start 139.174 96.5126) + (end 139.3444 96.3422) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "e0687148-222f-4134-8342-31133e609999") + ) + (segment + (start 137.746 96.6742) + (end 137.746 97.925183) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "e5a671ad-ce31-440f-a513-3271c6a7bb85") + ) + (segment + (start 134.493 87.686) + (end 135.89 89.083) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "ea7a5493-7c3c-44ba-85a4-d32cfc067eaf") + ) + (segment + (start 143.174 96.465232) + (end 143.174 97.0815) + (width 0.2) + (layer "F.Cu") + (net 35) + (uuid "0f0af404-78ad-498c-b02e-f794147daf9f") + ) + (segment + (start 138.557 87.63) + (end 137.795 88.392) + (width 0.2) + (layer "F.Cu") + (net 35) + (uuid "68e8212f-0629-4749-9280-50c4a0ac1f36") + ) + (segment + (start 138.557 87.439) + (end 138.557 87.63) + (width 0.2) + (layer "F.Cu") + (net 35) + (uuid "89bdf7ad-b7a9-4b39-a333-dabe8e658440") + ) + (segment + (start 143.401577 96.237655) + (end 143.174 96.465232) + (width 0.2) + (layer "F.Cu") + (net 35) + (uuid "d9072063-6481-4aed-aad6-bc795ae5bef0") + ) + (via + (at 143.401577 96.237655) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 35) + (uuid "299bebd8-195a-466a-b67e-e051090cea53") + ) + (via + (at 137.795 88.392) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 35) + (uuid "2bd0a6b1-4117-4720-bbc4-7d06b58a54f7") + ) + (segment + (start 137.795 88.392) + (end 143.552 94.149) + (width 0.2) + (layer "In2.Cu") + (net 35) + (uuid "0eef564e-2b15-4944-8e82-b5d394629803") + ) + (segment + (start 143.552 96.087232) + (end 143.401577 96.237655) + (width 0.2) + (layer "In2.Cu") + (net 35) + (uuid "82af2752-efdb-447e-98e0-7a86826072e6") + ) + (segment + (start 143.552 94.149) + (end 143.552 96.087232) + (width 0.2) + (layer "In2.Cu") + (net 35) + (uuid "c02f6664-281a-40fa-a32e-71ab37d0f0b6") + ) + (segment + (start 148.7545 97.6375) + (end 148.717 97.6) + (width 0.2) + (layer "F.Cu") + (net 37) + (uuid "0c986f05-b3b8-4718-89a6-e1869fa84a6d") + ) + (segment + (start 150.241 97.6375) + (end 148.7545 97.6375) + (width 0.2) + (layer "F.Cu") + (net 37) + (uuid "b2786e47-4ea6-476c-9142-05c53cc2dd25") + ) + (segment + (start 146.515 112.776) + (end 146.515 114.47) + (width 0.2) + (layer "F.Cu") + (net 39) + (uuid "0881ca16-37d4-4423-bb3a-96bb1087cb58") + ) + (segment + (start 147.413 103.883001) + (end 147.413 111.878) + (width 0.2) + (layer "F.Cu") + (net 39) + (uuid "0f2e1750-8d22-4fdd-95bd-8d1f854419e5") + ) + (segment + (start 146.515 114.47) + (end 145.415 115.57) + (width 0.2) + (layer "F.Cu") + (net 39) + (uuid "0fa62f58-c61d-4408-b5fc-48121a96f25f") + ) + (segment + (start 145.3615 102.269) + (end 145.798999 102.269) + (width 0.2) + (layer "F.Cu") + (net 39) + (uuid "3d915e93-c4f4-4ec6-961d-1f23cb173bbb") + ) + (segment + (start 147.413 111.878) + (end 146.515 112.776) + (width 0.2) + (layer "F.Cu") + (net 39) + (uuid "8133d70a-95e0-4add-8f2f-2531eca92f84") + ) + (segment + (start 145.798999 102.269) + (end 147.413 103.883001) + (width 0.2) + (layer "F.Cu") + (net 39) + (uuid "f7b41c7f-28e7-4504-b2bc-6098045bfbcf") + ) + (segment + (start 143.975 114.47) + (end 142.875 115.57) + (width 0.2) + (layer "F.Cu") + (net 40) + (uuid "126bb4e4-9c53-4cd6-bda1-7d145e9ecb2a") + ) + (segment + (start 145.3615 103.269) + (end 146.713 104.6205) + (width 0.2) + (layer "F.Cu") + (net 40) + (uuid "2c4c2c10-dd3a-4fdf-bd2e-0e47d662e818") + ) + (segment + (start 146.713 104.6205) + (end 146.713 110.103986) + (width 0.2) + (layer "F.Cu") + (net 40) + (uuid "56825e2d-faec-474c-8f4c-169de81f3ee1") + ) + (segment + (start 143.975 112.841986) + (end 143.975 114.47) + (width 0.2) + (layer "F.Cu") + (net 40) + (uuid "8127fa0b-8143-41a5-b20c-f33a3fa61a5d") + ) + (segment + (start 146.713 110.103986) + (end 143.975 112.841986) + (width 0.2) + (layer "F.Cu") + (net 40) + (uuid "92a01b40-3d61-48e3-8101-93d03db36b2c") + ) + (segment + (start 145.798999 102.769) + (end 147.063 104.033001) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "02ba0b1e-b168-4868-8a9f-bc71f9adaba7") + ) + (segment + (start 145.3615 102.769) + (end 145.798999 102.769) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "0b6b5511-1c48-4afc-bf07-ac51248ff1a5") + ) + (segment + (start 147.063 111.382) + (end 145.415 113.03) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "a9b71246-4aea-438f-abb8-9f63d707c243") + ) + (segment + (start 147.063 104.033001) + (end 147.063 111.382) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "fab142cc-c4f9-4271-b451-e9e1ccac4685") + ) + (segment + (start 144.749 106.584) + (end 144.495 106.838) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "045972ef-9af3-4563-a7c0-4e006a86c30a") + ) + (segment + (start 144.495 111.41) + (end 142.875 113.03) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "05bb468e-fc3e-449b-a8da-cea45ba9052e") + ) + (segment + (start 145.437986 104.775) + (end 146.013 105.350014) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "072ce001-5739-4110-a7e7-838b856e2a81") + ) + (segment + (start 146.013 106.205986) + (end 145.634986 106.584) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "2dcc21e9-9988-42e7-ad18-bce99f23f210") + ) + (segment + (start 146.013 105.350014) + (end 146.013 106.205986) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "2df836bd-d083-46cd-9b24-fcc97f5cf6b7") + ) + (segment + (start 144.495 106.838) + (end 144.495 111.41) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "746f322c-63dd-4f28-8b42-27e61e97dca0") + ) + (segment + (start 144.555001 104.775) + (end 145.437986 104.775) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "8c711cbc-6393-4ee5-bcc6-e18c4a96f11b") + ) + (segment + (start 145.634986 106.584) + (end 144.749 106.584) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "9268a4f1-0bf2-48f7-af34-bea9ab4cd6c3") + ) + (segment + (start 144.174 104.393999) + (end 144.555001 104.775) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "f3a1d4e3-bfea-4c3e-af26-a7aa6e7e2fb5") + ) + (segment + (start 144.174 103.9565) + (end 144.174 104.393999) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "fde52034-cf99-44ba-9efa-2030d2045be2") + ) + (segment + (start 142.674 104.814974) + (end 143.795 105.935974) + (width 0.2) + (layer "F.Cu") + (net 43) + (uuid "4f04cfd2-3e45-42ff-bcb8-de90d92d75b5") + ) + (segment + (start 142.674 103.9565) + (end 142.674 104.814974) + (width 0.2) + (layer "F.Cu") + (net 43) + (uuid "a2574adb-ec63-4b6e-8c86-013466d36723") + ) + (segment + (start 143.795 105.935974) + (end 143.795 109.57) + (width 0.2) + (layer "F.Cu") + (net 43) + (uuid "bc667867-cb3b-46a1-be8e-e4f027e4625c") + ) + (segment + (start 143.795 109.57) + (end 140.335 113.03) + (width 0.2) + (layer "F.Cu") + (net 43) + (uuid "d2e8da73-8fa9-41a3-9ed3-bce00ea8c9b3") + ) + (segment + (start 141.435 112.914365) + (end 141.435 114.47) + (width 0.2) + (layer "F.Cu") + (net 44) + (uuid "36e85bb4-9a25-47f0-a9a7-c65df0a62a97") + ) + (segment + (start 144.145 110.204365) + (end 141.435 112.914365) + (width 0.2) + (layer "F.Cu") + (net 44) + (uuid "44a1e394-35d7-445e-a264-429bbc91f2b7") + ) + (segment + (start 141.435 114.47) + (end 140.335 115.57) + (width 0.2) + (layer "F.Cu") + (net 44) + (uuid "54fe67e7-50dd-4709-90dd-3707fdd0ef4b") + ) + (segment + (start 143.174 103.9565) + (end 143.174 104.819999) + (width 0.2) + (layer "F.Cu") + (net 44) + (uuid "6da8565f-cd65-43e9-8fb1-aa4d71b73f6e") + ) + (segment + (start 144.145 105.790999) + (end 144.145 110.204365) + (width 0.2) + (layer "F.Cu") + (net 44) + (uuid "79cd102b-667f-4b67-bfd8-e0920cf874f5") + ) + (segment + (start 143.174 104.819999) + (end 144.145 105.790999) + (width 0.2) + (layer "F.Cu") + (net 44) + (uuid "833f18e2-ccac-4263-af14-eb40f13c28ea") + ) + (segment + (start 146.207997 101.769) + (end 146.558 102.119003) + (width 0.2) + (layer "F.Cu") + (net 45) + (uuid "2329ae23-c7bf-4dd7-96a4-20af0e86969e") + ) + (segment + (start 145.3615 101.769) + (end 146.207997 101.769) + (width 0.2) + (layer "F.Cu") + (net 45) + (uuid "c75d0c79-70aa-4e7c-9818-33a2193a3c25") + ) + (via + (at 146.558 102.119003) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 45) + (uuid "f4ba0258-fca2-4c4f-b995-62b3f3998ed6") + ) + (segment + (start 147.955 113.03) + (end 147.955 103.516003) + (width 0.2) + (layer "In2.Cu") + (net 45) + (uuid "58bcc079-90ce-439f-912e-31628d2d3db0") + ) + (segment + (start 147.955 103.516003) + (end 146.558 102.119003) + (width 0.2) + (layer "In2.Cu") + (net 45) + (uuid "665edfd4-653e-4a32-b651-61535f519033") + ) + (segment + (start 145.3615 100.269) + (end 146.558 100.269) + (width 0.2) + (layer "F.Cu") + (net 46) + (uuid "56c1bf3e-6948-43bb-b916-5b17434b94f0") + ) + (via + (at 146.558 100.269) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 46) + (uuid "7868d062-0979-4e6c-9e97-d50cfde1424f") + ) + (segment + (start 149.055 114.47) + (end 149.055 112.574365) + (width 0.2) + (layer "In2.Cu") + (net 46) + (uuid "8b40f83d-1ab9-41b7-81b7-48f63465049c") + ) + (segment + (start 149.055 112.574365) + (end 148.336 111.855365) + (width 0.2) + (layer "In2.Cu") + (net 46) + (uuid "a2ac9a3f-14de-4cfd-8f6b-49b1eb1857e9") + ) + (segment + (start 147.955 115.57) + (end 149.055 114.47) + (width 0.2) + (layer "In2.Cu") + (net 46) + (uuid "b6cadccb-ffb5-46a5-a0c2-fd9ac4850766") + ) + (segment + (start 148.336 111.855365) + (end 148.336 102.047) + (width 0.2) + (layer "In2.Cu") + (net 46) + (uuid "c349f58a-eb67-481b-a22a-8777cfce337b") + ) + (segment + (start 148.336 102.047) + (end 146.558 100.269) + (width 0.2) + (layer "In2.Cu") + (net 46) + (uuid "f95fc7c2-ea8e-476e-b236-153a62749c84") + ) + (segment + (start 146.431 78.105) + (end 137.795 78.105) + (width 0.2) + (layer "F.Cu") + (net 47) + (uuid "3a603aa3-56ac-46eb-a1bc-ea1e1c9498bc") + ) + (segment + (start 147.701 80.992502) + (end 147.701 79.375) + (width 0.2) + (layer "F.Cu") + (net 47) + (uuid "601ddcd1-e685-4d4c-b31a-7a9aba0a02ba") + ) + (segment + (start 133.5805 82.3195) + (end 133.5805 86.995) + (width 0.2) + (layer "F.Cu") + (net 47) + (uuid "67e6ec03-ff59-4ff4-b3c8-0736b6b7404b") + ) + (segment + (start 144.78 82.57) + (end 146.123502 82.57) + (width 0.2) + (layer "F.Cu") + (net 47) + (uuid "68b5e56f-b68f-41e4-9802-42c595a27390") + ) + (segment + (start 137.795 78.105) + (end 133.5805 82.3195) + (width 0.2) + (layer "F.Cu") + (net 47) + (uuid "bb416dae-d4ed-451f-a7b6-319a01306bd6") + ) + (segment + (start 147.701 79.375) + (end 146.431 78.105) + (width 0.2) + (layer "F.Cu") + (net 47) + (uuid "c3d6c081-452f-4896-bd6a-c1853fbce5a8") + ) + (segment + (start 146.123502 82.57) + (end 147.701 80.992502) + (width 0.2) + (layer "F.Cu") + (net 47) + (uuid "efb7ff6b-0ab3-469b-bea7-4710f8a49103") + ) + (segment + (start 148.336 83.947) + (end 149.098 83.185) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "041f8437-2918-441d-a983-1f5d2ada47e2") + ) + (segment + (start 147.265966 88.441) + (end 147.083466 88.2585) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "3d31593c-6ff6-49a8-9fad-a9a8c11288bd") + ) + (segment + (start 147.645 88.8186) + (end 147.2674 88.441) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "4492979a-93a2-4571-8baf-1a2dceaca010") + ) + (segment + (start 147.2674 88.441) + (end 147.265966 88.441) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "6842bec9-228e-4a5c-871c-e6679f2687fa") + ) + (segment + (start 145.034 85.586658) + (end 146.673658 83.947) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "6c9a5ad1-1ce4-4e15-9f7b-457063be948c") + ) + (segment + (start 145.362158 88.2585) + (end 145.034 87.930342) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "70bd9692-ec22-439b-91dd-f7a005dd0883") + ) + (segment + (start 146.673658 83.947) + (end 148.336 83.947) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "730ee35b-871e-4fb2-85e2-dcd5b33239da") + ) + (segment + (start 147.083466 88.2585) + (end 145.362158 88.2585) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "78be6f60-6df6-4ec8-992c-83c5f58c8f94") + ) + (segment + (start 145.034 87.930342) + (end 145.034 85.586658) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "84318b8d-5335-47a6-9c86-80d3cca8fa33") + ) + (segment + (start 149.098 79.427849) + (end 141.605 71.934849) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "8d51cd18-ecaf-4124-a2e1-84590f5ef6f6") + ) + (segment + (start 149.098 83.185) + (end 149.098 79.427849) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "cbd5dc12-475b-4af9-849b-01b6f8a8abbe") + ) + (segment + (start 141.605 71.934849) + (end 141.605 68.58) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "f5d59cb4-61f6-4cb4-882c-dbb21ef2d932") + ) + (segment + (start 147.645 89.4138) + (end 147.645 88.8186) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "f8e5bf83-c4ba-4eaf-85a9-182721087cee") + ) + (via + (at 141.605 68.58) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 49) + (uuid "2dddc2a2-f3b8-4dda-b64e-979e5d482d42") + ) + (segment + (start 141.605 67.082) + (end 141.605 68.58) + (width 0.2) + (layer "B.Cu") + (net 49) + (uuid "981f2034-b830-4f82-8693-aef50ddef7e0") + ) + (segment + (start 146.995 88.9052) + (end 146.7104 88.6206) + (width 0.2) + (layer "F.Cu") + (net 55) + (uuid "0bfef4b3-f057-4de1-8a22-6f7780c5e51e") + ) + (segment + (start 140.97 71.882) + (end 140.97 69.088) + (width 0.2) + (layer "F.Cu") + (net 55) + (uuid "2cd6ceb8-2383-4641-a1f1-7f58cd7f69aa") + ) + (segment + (start 146.995 89.4138) + (end 146.995 88.9052) + (width 0.2) + (layer "F.Cu") + (net 55) + (uuid "447511ab-8110-4e9f-bdbf-304e489da870") + ) + (segment + (start 146.558 83.566) + (end 148.209 83.566) + (width 0.2) + (layer "F.Cu") + (net 55) + (uuid "7a0ecce9-b8f7-4c6b-8252-7f6315dd64d1") + ) + (segment + (start 144.9832 88.6206) + (end 144.684 88.3214) + (width 0.2) + (layer "F.Cu") + (net 55) + (uuid "8a9b5534-735d-4ab6-a8e9-50844f684110") + ) + (segment + (start 144.684 88.3214) + (end 144.684 85.44) + (width 0.2) + (layer "F.Cu") + (net 55) + (uuid "93dcadcf-6f2b-4d3a-b062-1dec6696d8df") + ) + (segment + (start 144.684 85.44) + (end 146.558 83.566) + (width 0.2) + (layer "F.Cu") + (net 55) + (uuid "9c45ac85-de26-4095-a5f4-b99ea7164c9e") + ) + (segment + (start 146.7104 88.6206) + (end 144.9832 88.6206) + (width 0.2) + (layer "F.Cu") + (net 55) + (uuid "a25b132c-9d18-4981-93ac-c1e8d38d6dbd") + ) + (segment + (start 148.209 83.566) + (end 148.717 83.058) + (width 0.2) + (layer "F.Cu") + (net 55) + (uuid "b155b938-703b-4944-9477-e0fd97102888") + ) + (segment + (start 148.717 83.058) + (end 148.717 79.629) + (width 0.2) + (layer "F.Cu") + (net 55) + (uuid "e6fed84f-cb66-4c61-bcba-c944acc21cd5") + ) + (segment + (start 148.717 79.629) + (end 140.97 71.882) + (width 0.2) + (layer "F.Cu") + (net 55) + (uuid "f7d17a7c-1cbf-464e-93d8-97b9f2bc9055") + ) + (via + (at 140.97 69.088) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 55) + (uuid "ce472777-0622-4223-8e46-f98c0fa2f648") + ) + (segment + (start 140.97 69.088) + (end 140.97 68.834) + (width 0.2) + (layer "B.Cu") + (net 55) + (uuid "2da6cbf9-b2c8-4914-b9e5-6cfe17812f57") + ) + (segment + (start 140.955 68.819) + (end 140.955 67.082) + (width 0.2) + (layer "B.Cu") + (net 55) + (uuid "305eba24-3a8c-4adc-9363-677aaffb5a80") + ) + (segment + (start 140.97 68.834) + (end 140.955 68.819) + (width 0.2) + (layer "B.Cu") + (net 55) + (uuid "56dbfd5e-9249-46ec-a427-a71d06796878") + ) + (segment + (start 147.645 93.550498) + (end 147.206698 93.9888) + (width 0.2) + (layer "F.Cu") + (net 63) + (uuid "3afe308a-4fc7-4ee8-855c-0cda4cdb93fc") + ) + (segment + (start 146.9302 93.9888) + (end 146.05 94.869) + (width 0.2) + (layer "F.Cu") + (net 63) + (uuid "41f8f4b4-713b-4bf7-b22c-33220bc53465") + ) + (segment + (start 147.206698 93.9888) + (end 146.9302 93.9888) + (width 0.2) + (layer "F.Cu") + (net 63) + (uuid "7c1c3a40-9372-4cb7-b9f2-e64b3555d085") + ) + (segment + (start 146.05 96.393) + (end 145.3615 97.0815) + (width 0.2) + (layer "F.Cu") + (net 63) + (uuid "ba657c25-49c8-495f-8037-26585df02d9a") + ) + (segment + (start 147.645 93.3138) + (end 147.645 93.550498) + (width 0.2) + (layer "F.Cu") + (net 63) + (uuid "c9c0f234-d27a-4b5c-9617-18394597f198") + ) + (segment + (start 145.3615 97.0815) + (end 144.674 97.0815) + (width 0.2) + (layer "F.Cu") + (net 63) + (uuid "eaeb06ac-a118-4402-b076-376038eb092e") + ) + (segment + (start 146.05 94.869) + (end 146.05 96.393) + (width 0.2) + (layer "F.Cu") + (net 63) + (uuid "eb1c0324-c757-4f99-a77a-3634b6e3ac95") + ) + (segment + (start 145.3615 98.269) + (end 146.653 98.269) + (width 0.2) + (layer "F.Cu") + (net 64) + (uuid "baa2fde0-689f-434e-9848-5480ec9fc1b7") + ) + (segment + (start 146.653 98.269) + (end 146.666 98.256) + (width 0.2) + (layer "F.Cu") + (net 64) + (uuid "beefcba2-aee8-42c3-9b28-b0419f4e2666") + ) + (segment + (start 146.431 96.9518) + (end 147.992 95.3908) + (width 0.2) + (layer "F.Cu") + (net 65) + (uuid "04dbc05a-736c-484e-aded-60cca6996795") + ) + (segment + (start 146.431 97.3582) + (end 146.431 96.9518) + (width 0.2) + (layer "F.Cu") + (net 65) + (uuid "138ab83e-8cd9-4e2b-af8a-74f0f9de9b4f") + ) + (segment + (start 147.992 95.3908) + (end 147.992 94.300262) + (width 0.2) + (layer "F.Cu") + (net 65) + (uuid "194c68b8-a3e5-465f-b100-0bb3934939d5") + ) + (segment + (start 149.9564 91.6888) + (end 149.27 91.6888) + (width 0.2) + (layer "F.Cu") + (net 65) + (uuid "2c025b32-67d5-4071-b872-f67c3e749f66") + ) + (segment + (start 148.250062 94.0422) + (end 149.1374 94.0422) + (width 0.2) + (layer "F.Cu") + (net 65) + (uuid "70babb9b-de88-4014-9d36-bc9ebfd50bc2") + ) + (segment + (start 146.0202 97.769) + (end 146.431 97.3582) + (width 0.2) + (layer "F.Cu") + (net 65) + (uuid "85ef995f-78a0-4a86-8e02-6a9e3b39ea15") + ) + (segment + (start 150.2664 91.9988) + (end 149.9564 91.6888) + (width 0.2) + (layer "F.Cu") + (net 65) + (uuid "9ab92f5c-5c7a-47ce-b646-0c6ea0ba9cf6") + ) + (segment + (start 147.992 94.300262) + (end 148.250062 94.0422) + (width 0.2) + (layer "F.Cu") + (net 65) + (uuid "9cd45ec9-be57-49fc-9ef8-b00ea04bb439") + ) + (segment + (start 149.1374 94.0422) + (end 150.2664 92.9132) + (width 0.2) + (layer "F.Cu") + (net 65) + (uuid "aa8a9b89-5128-4a5e-a4b5-a101a7fba699") + ) + (segment + (start 150.2664 92.9132) + (end 150.2664 91.9988) + (width 0.2) + (layer "F.Cu") + (net 65) + (uuid "c5ff197a-bc2e-463d-906d-5850078bb5f8") + ) + (segment + (start 145.3615 97.769) + (end 146.0202 97.769) + (width 0.2) + (layer "F.Cu") + (net 65) + (uuid "cb2ec269-19e7-4f81-9fe9-b5bdd487cc7a") + ) + (segment + (start 138.557 85.789) + (end 138.557 86.586367) + (width 0.2) + (layer "F.Cu") + (net 66) + (uuid "625c54cd-0c87-48f4-870c-3f59c5c8d7d3") + ) + (segment + (start 138.557 86.586367) + (end 138.554367 86.589) + (width 0.2) + (layer "F.Cu") + (net 66) + (uuid "c05a0464-f106-4801-b997-3538119ea72b") + ) + (via + (at 138.554367 86.589) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 66) + (uuid "edba035b-3edf-43fa-b070-2ebcdeb7504c") + ) + (segment + (start 140.462 72.009) + (end 140.462 74.295) + (width 0.2) + (layer "In2.Cu") + (net 66) + (uuid "3fe2e615-f0fe-4d9e-a667-325eefabb862") + ) + (segment + (start 145.39 70.31) + (end 142.161 70.31) + (width 0.2) + (layer "In2.Cu") + (net 66) + (uuid "5c04ebb7-8ce2-4e62-80b8-9b200d66a1c3") + ) + (segment + (start 142.161 70.31) + (end 140.462 72.009) + (width 0.2) + (layer "In2.Cu") + (net 66) + (uuid "7164c063-7a34-4b78-8393-51ef4dd1f7af") + ) + (segment + (start 138.151 86.589) + (end 138.554367 86.589) + (width 0.2) + (layer "In2.Cu") + (net 66) + (uuid "81b3061d-0457-4fc4-a478-e52586e93ad0") + ) + (segment + (start 134.874 83.312) + (end 138.151 86.589) + (width 0.2) + (layer "In2.Cu") + (net 66) + (uuid "beb932e6-28dc-4347-8dc9-f6a74daf6c07") + ) + (segment + (start 134.874 79.883) + (end 134.874 83.312) + (width 0.2) + (layer "In2.Cu") + (net 66) + (uuid "c41f9f80-910d-484d-a5d8-2ae0ff4906af") + ) + (segment + (start 140.462 74.295) + (end 134.874 79.883) + (width 0.2) + (layer "In2.Cu") + (net 66) + (uuid "ea586177-c0e2-4305-9a6b-47082a2e1df7") + ) + (segment + (start 137.033 86.586367) + (end 137.030367 86.589) + (width 0.2) + (layer "F.Cu") + (net 67) + (uuid "1ced54a3-f7e4-4a6a-b53d-04b09962a5e7") + ) + (segment + (start 137.033 85.789) + (end 137.033 86.586367) + (width 0.2) + (layer "F.Cu") + (net 67) + (uuid "7555509c-3ee4-4dad-b97e-b4513f0c36b8") + ) + (via + (at 137.030367 86.589) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 67) + (uuid "43dab9b2-5ce3-49f5-b53e-8befa0b54ba3") + ) + (segment + (start 134.493 79.629) + (end 139.954 74.168) + (width 0.2) + (layer "In2.Cu") + (net 67) + (uuid "03d48c35-543c-41f6-ade6-2f4a13a682b1") + ) + (segment + (start 139.954 74.168) + (end 139.954 70.924) + (width 0.2) + (layer "In2.Cu") + (net 67) + (uuid "0a8045df-59b0-42a3-bacc-d570435a43ef") + ) + (segment + (start 134.493 83.566) + (end 134.493 79.629) + (width 0.2) + (layer "In2.Cu") + (net 67) + (uuid "23148451-a0e1-43b7-bbab-1466a5fe6f38") + ) + (segment + (start 137.030367 86.103367) + (end 134.493 83.566) + (width 0.2) + (layer "In2.Cu") + (net 67) + (uuid "2432b809-f2ec-4055-9cc9-d09ed47499f4") + ) + (segment + (start 137.030367 86.589) + (end 137.030367 86.103367) + (width 0.2) + (layer "In2.Cu") + (net 67) + (uuid "aa09704f-7cb0-4b56-a0ac-94bc96f5075d") + ) + (segment + (start 139.954 70.924) + (end 137.82 68.79) + (width 0.2) + (layer "In2.Cu") + (net 67) + (uuid "f37e734f-c6f4-4985-b0d9-d7fe979c47c4") + ) + (segment + (start 136.906 95.631) + (end 138.557 95.631) + (width 0.2) + (layer "F.Cu") + (net 68) + (uuid "51c10c2b-2ace-4713-bc0e-9d83e482719b") + ) + (segment + (start 138.557 95.631) + (end 138.557 96.8305) + (width 0.2) + (layer "F.Cu") + (net 68) + (uuid "6aa76e5e-5fa9-40bc-83b7-a6ee61558429") + ) + (segment + (start 138.4865 97.769) + (end 138.4865 96.901) + (width 0.2) + (layer "F.Cu") + (net 68) + (uuid "756efe97-56a3-418e-8f1d-140cf7bc1032") + ) + (segment + (start 138.557 96.8305) + (end 138.4865 96.901) + (width 0.2) + (layer "F.Cu") + (net 68) + (uuid "c45c11c3-ff80-4f98-b79f-0bd3551cc542") + ) + (segment + (start 148.7545 94.5895) + (end 148.717 94.552) + (width 0.2) + (layer "F.Cu") + (net 69) + (uuid "0314186a-91cf-4f22-8bd4-d6112ea5bf8b") + ) + (segment + (start 150.241 94.5895) + (end 148.7545 94.5895) + (width 0.2) + (layer "F.Cu") + (net 69) + (uuid "580ac864-c401-4e83-9f90-0d026a848174") + ) + (segment + (start 145.3985 98.806) + (end 148.273 98.806) + (width 0.2) + (layer "F.Cu") + (net 70) + (uuid "0b3b8b68-d604-4e03-b3a4-81a73828dc2e") + ) + (segment + (start 148.273 98.806) + (end 148.717 99.25) + (width 0.2) + (layer "F.Cu") + (net 70) + (uuid "219e1650-ef8b-46f1-9ee3-fc5f673aac5a") + ) + (segment + (start 145.3615 98.769) + (end 145.3985 98.806) + (width 0.2) + (layer "F.Cu") + (net 70) + (uuid "a455f59c-b7e5-435f-94cf-8bb0efd55eda") + ) + (segment + (start 149.987 79.680453) + (end 143.764 73.457453) + (width 0.4) + (layer "F.Cu") + (net 71) + (uuid "0766fbfb-8212-460f-bcb1-5824bd847eb6") + ) + (segment + (start 143.256 67.056) + (end 140.1572 67.056) + (width 0.4) + (layer "F.Cu") + (net 71) + (uuid "5b889298-ede2-4e8e-aa15-034ac137878e") + ) + (segment + (start 139.573 67.6402) + (end 140.1572 67.056) + (width 0.4) + (layer "F.Cu") + (net 71) + (uuid "80f62193-720f-4b46-addb-cd6014bd4639") + ) + (segment + (start 140.335 68.453) + (end 139.573 68.453) + (width 0.4) + (layer "F.Cu") + (net 71) + (uuid "a4d7f049-9607-41a8-a66d-2316f36d5c86") + ) + (segment + (start 149.987 85.882) + (end 149.987 79.680453) + (width 0.4) + (layer "F.Cu") + (net 71) + (uuid "b1dd656d-78dd-417f-aa40-c64177020f2f") + ) + (segment + (start 143.764 73.457453) + (end 143.764 67.564) + (width 0.4) + (layer "F.Cu") + (net 71) + (uuid "cd23002b-ee44-41a7-8605-c2fb59c8b7f6") + ) + (segment + (start 139.573 68.453) + (end 139.573 67.6402) + (width 0.4) + (layer "F.Cu") + (net 71) + (uuid "cd5f1cbb-e8f9-4a5d-8cf2-a1ed96165d07") + ) + (segment + (start 143.764 67.564) + (end 143.256 67.056) + (width 0.4) + (layer "F.Cu") + (net 71) + (uuid "e71d0c16-7bbd-41d9-ac04-e97062b49472") + ) + (via + (at 139.573 68.453) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 71) + (uuid "3b28905f-bc60-497b-b38c-647d94f972fd") + ) + (via + (at 140.335 68.453) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 71) + (uuid "d1725d7c-95d3-4770-b0b5-c6b31d944648") + ) + (segment + (start 140.305 67.082) + (end 140.305 68.423) + (width 0.4) + (layer "B.Cu") + (net 71) + (uuid "09d2a5e9-bb5f-4c46-bf47-cf6c2329f463") + ) + (segment + (start 140.305 68.423) + (end 140.335 68.453) + (width 0.4) + (layer "B.Cu") + (net 71) + (uuid "1aeb6474-aaf6-47a9-b053-fc72c6670a71") + ) + (segment + (start 139.573 68.453) + (end 140.335 68.453) + (width 0.4) + (layer "B.Cu") + (net 71) + (uuid "5f0cf0aa-d814-4eac-985d-31e39563eab2") + ) + (zone + (net 3) + (net_name "GND") + (layers "In1.Cu" "In2.Cu") + (uuid "8f6e8c70-612d-4e1d-8228-810c79c641a6") + (hatch edge 0.5) + (connect_pads + (clearance 0.25) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + ) + (polygon + (pts + (xy 132.08 62.23) (xy 151.13 62.23) (xy 151.13 125.73) (xy 132.08 125.73) + ) + ) + (filled_polygon + (layer "In1.Cu") + (pts + (xy 138.045 115.136988) (xy 137.987993 115.104075) (xy 137.860826 115.07) (xy 137.729174 115.07) + (xy 137.602007 115.104075) (xy 137.545 115.136988) (xy 137.545 113.463012) (xy 137.602007 113.495925) + (xy 137.729174 113.53) (xy 137.860826 113.53) (xy 137.987993 113.495925) (xy 138.045 113.463012) + ) + ) + (filled_polygon + (layer "In1.Cu") + (pts + (xy 150.672539 63.158185) (xy 150.718294 63.210989) (xy 150.7295 63.2625) (xy 150.7295 75.596534) + (xy 150.709815 75.663573) (xy 150.657011 75.709328) (xy 150.587853 75.719272) (xy 150.524297 75.690247) + (xy 150.51121 75.677066) (xy 150.499686 75.663573) (xy 150.479759 75.640241) (xy 150.354672 75.533407) + (xy 150.294176 75.481738) (xy 150.294173 75.481737) (xy 150.086089 75.354222) (xy 149.860618 75.26083) + (xy 149.860621 75.26083) (xy 149.754992 75.23547) (xy 149.623302 75.203854) (xy 149.6233 75.203853) + (xy 149.623297 75.203853) (xy 149.38 75.184706) (xy 149.136702 75.203853) (xy 148.89938 75.26083) + (xy 148.67391 75.354222) (xy 148.465826 75.481737) (xy 148.465823 75.481738) (xy 148.280241 75.640241) + (xy 148.121738 75.825823) (xy 148.121737 75.825826) (xy 147.994222 76.03391) (xy 147.90083 76.25938) + (xy 147.843853 76.496702) (xy 147.824706 76.74) (xy 147.843853 76.983297) (xy 147.90083 77.220619) + (xy 147.994222 77.446089) (xy 148.121737 77.654173) (xy 148.121738 77.654176) (xy 148.121741 77.654179) + (xy 148.280241 77.839759) (xy 148.423897 77.962453) (xy 148.465823 77.998261) (xy 148.465826 77.998262) + (xy 148.67391 78.125777) (xy 148.899381 78.219169) (xy 148.899378 78.219169) (xy 148.899384 78.21917) + (xy 148.899388 78.219172) (xy 149.136698 78.276146) (xy 149.38 78.295294) (xy 149.623302 78.276146) + (xy 149.860612 78.219172) (xy 150.086089 78.125777) (xy 150.294179 77.998259) (xy 150.479759 77.839759) + (xy 150.51121 77.802934) (xy 150.569715 77.764741) (xy 150.639583 77.764241) (xy 150.69863 77.801594) + (xy 150.728108 77.864941) (xy 150.7295 77.883465) (xy 150.7295 106.695148) (xy 150.709815 106.762187) + (xy 150.657011 106.807942) (xy 150.587853 106.817886) (xy 150.524297 106.788861) (xy 150.507129 106.77064) + (xy 150.506624 106.769982) (xy 150.506622 106.76998) (xy 150.506621 106.769979) (xy 150.391625 106.681739) + (xy 150.391624 106.681738) (xy 150.391622 106.681737) (xy 150.257712 106.626271) (xy 150.25771 106.62627) + (xy 150.257709 106.62627) (xy 150.185854 106.61681) (xy 150.114001 106.60735) (xy 150.113999 106.60735) + (xy 149.970291 106.62627) (xy 149.970287 106.626271) (xy 149.836377 106.681737) (xy 149.721379 106.769979) + (xy 149.633137 106.884977) (xy 149.577671 107.018887) (xy 149.57767 107.018891) (xy 149.55875 107.162599) + (xy 149.55875 107.1626) (xy 149.57767 107.306308) (xy 149.577671 107.306312) (xy 149.633137 107.440222) + (xy 149.633138 107.440224) (xy 149.633139 107.440225) (xy 149.721379 107.555221) (xy 149.836375 107.643461) + (xy 149.970291 107.69893) (xy 150.09728 107.715648) (xy 150.113999 107.71785) (xy 150.114 107.71785) + (xy 150.114001 107.71785) (xy 150.128977 107.715878) (xy 150.257709 107.69893) (xy 150.391625 107.643461) + (xy 150.506621 107.555221) (xy 150.507124 107.554564) (xy 150.507691 107.55415) (xy 150.512368 107.549474) + (xy 150.513097 107.550203) (xy 150.563551 107.513362) (xy 150.633297 107.509207) (xy 150.694218 107.543419) + (xy 150.726971 107.605136) (xy 150.7295 107.630051) (xy 150.7295 125.2055) (xy 150.709815 125.272539) + (xy 150.657011 125.318294) (xy 150.6055 125.3295) (xy 132.6045 125.3295) (xy 132.537461 125.309815) + (xy 132.491706 125.257011) (xy 132.4805 125.2055) (xy 132.4805 115.569999) (xy 134.149785 115.569999) + (xy 134.149785 115.57) (xy 134.168602 115.773082) (xy 134.224417 115.969247) (xy 134.224422 115.96926) + (xy 134.315327 116.151821) (xy 134.438237 116.314581) (xy 134.588958 116.45198) (xy 134.58896 116.451982) + (xy 134.688141 116.513392) (xy 134.762363 116.559348) (xy 134.952544 116.633024) (xy 135.153024 116.6705) + (xy 135.153026 116.6705) (xy 135.356974 116.6705) (xy 135.356976 116.6705) (xy 135.557456 116.633024) + (xy 135.747637 116.559348) (xy 135.921041 116.451981) (xy 136.071764 116.314579) (xy 136.194673 116.151821) + (xy 136.256598 116.027456) (xy 136.281817 115.976812) (xy 136.329319 115.925575) (xy 136.396982 115.908153) + (xy 136.463323 115.930078) (xy 136.507278 115.984389) (xy 136.512592 115.999989) (xy 136.521567 116.033485) + (xy 136.52157 116.033492) (xy 136.621399 116.247578) (xy 136.756894 116.441082) (xy 136.923917 116.608105) + (xy 137.117421 116.7436) (xy 137.331507 116.843429) (xy 137.331516 116.843433) (xy 137.545 116.900634) + (xy 137.545 116.003012) (xy 137.602007 116.035925) (xy 137.729174 116.07) (xy 137.860826 116.07) + (xy 137.987993 116.035925) (xy 138.045 116.003012) (xy 138.045 116.900633) (xy 138.258483 116.843433) + (xy 138.258492 116.843429) (xy 138.472578 116.7436) (xy 138.666082 116.608105) (xy 138.833105 116.441082) + (xy 138.9686 116.247578) (xy 139.068429 116.033492) (xy 139.068431 116.033489) (xy 139.077406 115.999992) + (xy 139.11377 115.940331) (xy 139.176616 115.9098) (xy 139.245992 115.918094) (xy 139.299871 115.962578) + (xy 139.308182 115.976811) (xy 139.395327 116.151821) (xy 139.518237 116.314581) (xy 139.668958 116.45198) + (xy 139.66896 116.451982) (xy 139.768141 116.513392) (xy 139.842363 116.559348) (xy 140.032544 116.633024) + (xy 140.233024 116.6705) (xy 140.233026 116.6705) (xy 140.436974 116.6705) (xy 140.436976 116.6705) + (xy 140.637456 116.633024) (xy 140.827637 116.559348) (xy 141.001041 116.451981) (xy 141.151764 116.314579) + (xy 141.274673 116.151821) (xy 141.365582 115.96925) (xy 141.421397 115.773083) (xy 141.440215 115.57) + (xy 141.440215 115.569999) (xy 141.769785 115.569999) (xy 141.769785 115.57) (xy 141.788602 115.773082) + (xy 141.844417 115.969247) (xy 141.844422 115.96926) (xy 141.935327 116.151821) (xy 142.058237 116.314581) + (xy 142.208958 116.45198) (xy 142.20896 116.451982) (xy 142.308141 116.513392) (xy 142.382363 116.559348) + (xy 142.572544 116.633024) (xy 142.773024 116.6705) (xy 142.773026 116.6705) (xy 142.976974 116.6705) + (xy 142.976976 116.6705) (xy 143.177456 116.633024) (xy 143.367637 116.559348) (xy 143.541041 116.451981) + (xy 143.691764 116.314579) (xy 143.814673 116.151821) (xy 143.905582 115.96925) (xy 143.961397 115.773083) + (xy 143.980215 115.57) (xy 143.980215 115.569999) (xy 144.309785 115.569999) (xy 144.309785 115.57) + (xy 144.328602 115.773082) (xy 144.384417 115.969247) (xy 144.384422 115.96926) (xy 144.475327 116.151821) + (xy 144.598237 116.314581) (xy 144.748958 116.45198) (xy 144.74896 116.451982) (xy 144.848141 116.513392) + (xy 144.922363 116.559348) (xy 145.112544 116.633024) (xy 145.313024 116.6705) (xy 145.313026 116.6705) + (xy 145.516974 116.6705) (xy 145.516976 116.6705) (xy 145.717456 116.633024) (xy 145.907637 116.559348) + (xy 146.081041 116.451981) (xy 146.231764 116.314579) (xy 146.354673 116.151821) (xy 146.445582 115.96925) + (xy 146.501397 115.773083) (xy 146.520215 115.57) (xy 146.520215 115.569999) (xy 146.849785 115.569999) + (xy 146.849785 115.57) (xy 146.868602 115.773082) (xy 146.924417 115.969247) (xy 146.924422 115.96926) + (xy 147.015327 116.151821) (xy 147.138237 116.314581) (xy 147.288958 116.45198) (xy 147.28896 116.451982) + (xy 147.388141 116.513392) (xy 147.462363 116.559348) (xy 147.652544 116.633024) (xy 147.853024 116.6705) + (xy 147.853026 116.6705) (xy 148.056974 116.6705) (xy 148.056976 116.6705) (xy 148.257456 116.633024) + (xy 148.447637 116.559348) (xy 148.621041 116.451981) (xy 148.771764 116.314579) (xy 148.894673 116.151821) + (xy 148.985582 115.96925) (xy 149.041397 115.773083) (xy 149.060215 115.57) (xy 149.054115 115.504174) + (xy 149.041397 115.366917) (xy 148.985582 115.17075) (xy 148.985159 115.169901) (xy 148.935415 115.07) + (xy 148.894673 114.988179) (xy 148.771764 114.825421) (xy 148.771762 114.825418) (xy 148.621041 114.688019) + (xy 148.621039 114.688017) (xy 148.447642 114.580655) (xy 148.447635 114.580651) (xy 148.321767 114.53189) + (xy 148.257456 114.506976) (xy 148.056976 114.4695) (xy 147.853024 114.4695) (xy 147.652544 114.506976) + (xy 147.652541 114.506976) (xy 147.652541 114.506977) (xy 147.462364 114.580651) (xy 147.462357 114.580655) + (xy 147.28896 114.688017) (xy 147.288958 114.688019) (xy 147.138237 114.825418) (xy 147.015327 114.988178) + (xy 146.924422 115.170739) (xy 146.924417 115.170752) (xy 146.868602 115.366917) (xy 146.849785 115.569999) + (xy 146.520215 115.569999) (xy 146.514115 115.504174) (xy 146.501397 115.366917) (xy 146.445582 115.17075) + (xy 146.445159 115.169901) (xy 146.395415 115.07) (xy 146.354673 114.988179) (xy 146.231764 114.825421) + (xy 146.231762 114.825418) (xy 146.081041 114.688019) (xy 146.081039 114.688017) (xy 145.907642 114.580655) + (xy 145.907635 114.580651) (xy 145.781767 114.53189) (xy 145.717456 114.506976) (xy 145.516976 114.4695) + (xy 145.313024 114.4695) (xy 145.112544 114.506976) (xy 145.112541 114.506976) (xy 145.112541 114.506977) + (xy 144.922364 114.580651) (xy 144.922357 114.580655) (xy 144.74896 114.688017) (xy 144.748958 114.688019) + (xy 144.598237 114.825418) (xy 144.475327 114.988178) (xy 144.384422 115.170739) (xy 144.384417 115.170752) + (xy 144.328602 115.366917) (xy 144.309785 115.569999) (xy 143.980215 115.569999) (xy 143.974115 115.504174) + (xy 143.961397 115.366917) (xy 143.905582 115.17075) (xy 143.905159 115.169901) (xy 143.855415 115.07) + (xy 143.814673 114.988179) (xy 143.691764 114.825421) (xy 143.691762 114.825418) (xy 143.541041 114.688019) + (xy 143.541039 114.688017) (xy 143.367642 114.580655) (xy 143.367635 114.580651) (xy 143.241767 114.53189) + (xy 143.177456 114.506976) (xy 142.976976 114.4695) (xy 142.773024 114.4695) (xy 142.572544 114.506976) + (xy 142.572541 114.506976) (xy 142.572541 114.506977) (xy 142.382364 114.580651) (xy 142.382357 114.580655) + (xy 142.20896 114.688017) (xy 142.208958 114.688019) (xy 142.058237 114.825418) (xy 141.935327 114.988178) + (xy 141.844422 115.170739) (xy 141.844417 115.170752) (xy 141.788602 115.366917) (xy 141.769785 115.569999) + (xy 141.440215 115.569999) (xy 141.434115 115.504174) (xy 141.421397 115.366917) (xy 141.365582 115.17075) + (xy 141.365159 115.169901) (xy 141.315415 115.07) (xy 141.274673 114.988179) (xy 141.151764 114.825421) + (xy 141.151762 114.825418) (xy 141.001041 114.688019) (xy 141.001039 114.688017) (xy 140.827642 114.580655) + (xy 140.827635 114.580651) (xy 140.701767 114.53189) (xy 140.637456 114.506976) (xy 140.436976 114.4695) + (xy 140.233024 114.4695) (xy 140.032544 114.506976) (xy 140.032541 114.506976) (xy 140.032541 114.506977) + (xy 139.842364 114.580651) (xy 139.842357 114.580655) (xy 139.66896 114.688017) (xy 139.668958 114.688019) + (xy 139.518237 114.825418) (xy 139.395327 114.988178) (xy 139.308182 115.163188) (xy 139.260679 115.214425) + (xy 139.193016 115.231846) (xy 139.126676 115.20992) (xy 139.082721 115.155609) (xy 139.077407 115.140008) + (xy 139.068434 115.106518) (xy 139.068429 115.106507) (xy 138.9686 114.892422) (xy 138.968599 114.89242) + (xy 138.833113 114.698926) (xy 138.833108 114.69892) (xy 138.666082 114.531894) (xy 138.479968 114.401575) + (xy 138.436344 114.346998) (xy 138.429151 114.277499) (xy 138.460673 114.215145) (xy 138.479968 114.198425) + (xy 138.666082 114.068105) (xy 138.833105 113.901082) (xy 138.9686 113.707578) (xy 139.068429 113.493492) + (xy 139.068431 113.493489) (xy 139.077406 113.459992) (xy 139.11377 113.400331) (xy 139.176616 113.3698) + (xy 139.245992 113.378094) (xy 139.299871 113.422578) (xy 139.308182 113.436811) (xy 139.395327 113.611821) + (xy 139.518237 113.774581) (xy 139.668958 113.91198) (xy 139.66896 113.911982) (xy 139.768141 113.973392) + (xy 139.842363 114.019348) (xy 140.032544 114.093024) (xy 140.233024 114.1305) (xy 140.233026 114.1305) + (xy 140.436974 114.1305) (xy 140.436976 114.1305) (xy 140.637456 114.093024) (xy 140.827637 114.019348) + (xy 141.001041 113.911981) (xy 141.151764 113.774579) (xy 141.274673 113.611821) (xy 141.365582 113.42925) + (xy 141.421397 113.233083) (xy 141.440215 113.03) (xy 141.440215 113.029999) (xy 141.769785 113.029999) + (xy 141.769785 113.03) (xy 141.788602 113.233082) (xy 141.844417 113.429247) (xy 141.844422 113.42926) + (xy 141.935327 113.611821) (xy 142.058237 113.774581) (xy 142.208958 113.91198) (xy 142.20896 113.911982) + (xy 142.308141 113.973392) (xy 142.382363 114.019348) (xy 142.572544 114.093024) (xy 142.773024 114.1305) + (xy 142.773026 114.1305) (xy 142.976974 114.1305) (xy 142.976976 114.1305) (xy 143.177456 114.093024) + (xy 143.367637 114.019348) (xy 143.541041 113.911981) (xy 143.691764 113.774579) (xy 143.814673 113.611821) + (xy 143.905582 113.42925) (xy 143.961397 113.233083) (xy 143.980215 113.03) (xy 143.980215 113.029999) + (xy 144.309785 113.029999) (xy 144.309785 113.03) (xy 144.328602 113.233082) (xy 144.384417 113.429247) + (xy 144.384422 113.42926) (xy 144.475327 113.611821) (xy 144.598237 113.774581) (xy 144.748958 113.91198) + (xy 144.74896 113.911982) (xy 144.848141 113.973392) (xy 144.922363 114.019348) (xy 145.112544 114.093024) + (xy 145.313024 114.1305) (xy 145.313026 114.1305) (xy 145.516974 114.1305) (xy 145.516976 114.1305) + (xy 145.717456 114.093024) (xy 145.907637 114.019348) (xy 146.081041 113.911981) (xy 146.231764 113.774579) + (xy 146.354673 113.611821) (xy 146.445582 113.42925) (xy 146.501397 113.233083) (xy 146.520215 113.03) + (xy 146.520215 113.029999) (xy 146.849785 113.029999) (xy 146.849785 113.03) (xy 146.868602 113.233082) + (xy 146.924417 113.429247) (xy 146.924422 113.42926) (xy 147.015327 113.611821) (xy 147.138237 113.774581) + (xy 147.288958 113.91198) (xy 147.28896 113.911982) (xy 147.388141 113.973392) (xy 147.462363 114.019348) + (xy 147.652544 114.093024) (xy 147.853024 114.1305) (xy 147.853026 114.1305) (xy 148.056974 114.1305) + (xy 148.056976 114.1305) (xy 148.257456 114.093024) (xy 148.447637 114.019348) (xy 148.621041 113.911981) + (xy 148.771764 113.774579) (xy 148.894673 113.611821) (xy 148.985582 113.42925) (xy 149.041397 113.233083) + (xy 149.060215 113.03) (xy 149.054115 112.964174) (xy 149.041397 112.826917) (xy 148.985582 112.63075) + (xy 148.985159 112.629901) (xy 148.904093 112.467098) (xy 148.894673 112.448179) (xy 148.771764 112.285421) + (xy 148.771762 112.285418) (xy 148.621041 112.148019) (xy 148.621039 112.148017) (xy 148.447642 112.040655) + (xy 148.447635 112.040651) (xy 148.321769 111.991891) (xy 148.257456 111.966976) (xy 148.056976 111.9295) + (xy 147.853024 111.9295) (xy 147.652544 111.966976) (xy 147.652541 111.966976) (xy 147.652541 111.966977) + (xy 147.462364 112.040651) (xy 147.462357 112.040655) (xy 147.28896 112.148017) (xy 147.288958 112.148019) + (xy 147.138237 112.285418) (xy 147.015327 112.448178) (xy 146.924422 112.630739) (xy 146.924417 112.630752) + (xy 146.868602 112.826917) (xy 146.849785 113.029999) (xy 146.520215 113.029999) (xy 146.514115 112.964174) + (xy 146.501397 112.826917) (xy 146.445582 112.63075) (xy 146.445159 112.629901) (xy 146.364093 112.467098) + (xy 146.354673 112.448179) (xy 146.231764 112.285421) (xy 146.231762 112.285418) (xy 146.081041 112.148019) + (xy 146.081039 112.148017) (xy 145.907642 112.040655) (xy 145.907635 112.040651) (xy 145.781769 111.991891) + (xy 145.717456 111.966976) (xy 145.516976 111.9295) (xy 145.313024 111.9295) (xy 145.112544 111.966976) + (xy 145.112541 111.966976) (xy 145.112541 111.966977) (xy 144.922364 112.040651) (xy 144.922357 112.040655) + (xy 144.74896 112.148017) (xy 144.748958 112.148019) (xy 144.598237 112.285418) (xy 144.475327 112.448178) + (xy 144.384422 112.630739) (xy 144.384417 112.630752) (xy 144.328602 112.826917) (xy 144.309785 113.029999) + (xy 143.980215 113.029999) (xy 143.974115 112.964174) (xy 143.961397 112.826917) (xy 143.905582 112.63075) + (xy 143.905159 112.629901) (xy 143.824093 112.467098) (xy 143.814673 112.448179) (xy 143.691764 112.285421) + (xy 143.691762 112.285418) (xy 143.541041 112.148019) (xy 143.541039 112.148017) (xy 143.367642 112.040655) + (xy 143.367635 112.040651) (xy 143.241769 111.991891) (xy 143.177456 111.966976) (xy 142.976976 111.9295) + (xy 142.773024 111.9295) (xy 142.572544 111.966976) (xy 142.572541 111.966976) (xy 142.572541 111.966977) + (xy 142.382364 112.040651) (xy 142.382357 112.040655) (xy 142.20896 112.148017) (xy 142.208958 112.148019) + (xy 142.058237 112.285418) (xy 141.935327 112.448178) (xy 141.844422 112.630739) (xy 141.844417 112.630752) + (xy 141.788602 112.826917) (xy 141.769785 113.029999) (xy 141.440215 113.029999) (xy 141.434115 112.964174) + (xy 141.421397 112.826917) (xy 141.365582 112.63075) (xy 141.365159 112.629901) (xy 141.284093 112.467098) + (xy 141.274673 112.448179) (xy 141.151764 112.285421) (xy 141.151762 112.285418) (xy 141.001041 112.148019) + (xy 141.001039 112.148017) (xy 140.827642 112.040655) (xy 140.827635 112.040651) (xy 140.701769 111.991891) + (xy 140.637456 111.966976) (xy 140.436976 111.9295) (xy 140.233024 111.9295) (xy 140.032544 111.966976) + (xy 140.032541 111.966976) (xy 140.032541 111.966977) (xy 139.842364 112.040651) (xy 139.842357 112.040655) + (xy 139.66896 112.148017) (xy 139.668958 112.148019) (xy 139.518237 112.285418) (xy 139.395327 112.448178) + (xy 139.308182 112.623188) (xy 139.260679 112.674425) (xy 139.193016 112.691846) (xy 139.126676 112.66992) + (xy 139.082721 112.615609) (xy 139.077407 112.600008) (xy 139.068434 112.566518) (xy 139.068429 112.566507) + (xy 138.9686 112.352422) (xy 138.968599 112.35242) (xy 138.833113 112.158926) (xy 138.833108 112.15892) + (xy 138.666082 111.991894) (xy 138.472578 111.856399) (xy 138.258492 111.75657) (xy 138.258486 111.756567) + (xy 138.045 111.699364) (xy 138.045 112.596988) (xy 137.987993 112.564075) (xy 137.860826 112.53) + (xy 137.729174 112.53) (xy 137.602007 112.564075) (xy 137.545 112.596988) (xy 137.545 111.699364) + (xy 137.544999 111.699364) (xy 137.331513 111.756567) (xy 137.331507 111.75657) (xy 137.117422 111.856399) + (xy 137.11742 111.8564) (xy 136.923926 111.991886) (xy 136.92392 111.991891) (xy 136.756891 112.15892) + (xy 136.756886 112.158926) (xy 136.6214 112.35242) (xy 136.621399 112.352422) (xy 136.591882 112.415723) + (xy 136.54571 112.468162) (xy 136.478516 112.487314) (xy 136.411635 112.467098) (xy 136.3663 112.413933) + (xy 136.3555 112.363318) (xy 136.3555 112.155323) (xy 136.355499 112.155321) (xy 136.340967 112.082264) + (xy 136.340966 112.08226) (xy 136.285601 111.999399) (xy 136.20274 111.944034) (xy 136.202739 111.944033) + (xy 136.202735 111.944032) (xy 136.129677 111.9295) (xy 136.129674 111.9295) (xy 134.380326 111.9295) + (xy 134.380323 111.9295) (xy 134.307264 111.944032) (xy 134.30726 111.944033) (xy 134.224399 111.999399) + (xy 134.169033 112.08226) (xy 134.169032 112.082264) (xy 134.1545 112.155321) (xy 134.1545 113.904678) + (xy 134.169032 113.977735) (xy 134.169033 113.977739) (xy 134.169034 113.97774) (xy 134.224399 114.060601) + (xy 134.30726 114.115966) (xy 134.307264 114.115967) (xy 134.380321 114.130499) (xy 134.380324 114.1305) + (xy 134.380326 114.1305) (xy 136.129676 114.1305) (xy 136.129677 114.130499) (xy 136.20274 114.115966) + (xy 136.285601 114.060601) (xy 136.340966 113.97774) (xy 136.3555 113.904674) (xy 136.3555 113.696681) + (xy 136.375185 113.629642) (xy 136.427989 113.583887) (xy 136.497147 113.573943) (xy 136.560703 113.602968) + (xy 136.591882 113.644277) (xy 136.621398 113.707575) (xy 136.621399 113.707577) (xy 136.756894 113.901082) + (xy 136.923917 114.068105) (xy 137.110031 114.198425) (xy 137.153656 114.253003) (xy 137.160848 114.322501) + (xy 137.129326 114.384856) (xy 137.110031 114.401575) (xy 136.923922 114.53189) (xy 136.92392 114.531891) + (xy 136.756891 114.69892) (xy 136.756886 114.698926) (xy 136.6214 114.89242) (xy 136.621399 114.892422) + (xy 136.52157 115.106507) (xy 136.521568 115.106511) (xy 136.512592 115.140011) (xy 136.476226 115.199671) + (xy 136.413379 115.230199) (xy 136.344003 115.221904) (xy 136.290126 115.177418) (xy 136.281817 115.163188) + (xy 136.235415 115.07) (xy 136.194673 114.988179) (xy 136.071764 114.825421) (xy 136.071762 114.825418) + (xy 135.921041 114.688019) (xy 135.921039 114.688017) (xy 135.747642 114.580655) (xy 135.747635 114.580651) + (xy 135.621767 114.53189) (xy 135.557456 114.506976) (xy 135.356976 114.4695) (xy 135.153024 114.4695) + (xy 134.952544 114.506976) (xy 134.952541 114.506976) (xy 134.952541 114.506977) (xy 134.762364 114.580651) + (xy 134.762357 114.580655) (xy 134.58896 114.688017) (xy 134.588958 114.688019) (xy 134.438237 114.825418) + (xy 134.315327 114.988178) (xy 134.224422 115.170739) (xy 134.224417 115.170752) (xy 134.168602 115.366917) + (xy 134.149785 115.569999) (xy 132.4805 115.569999) (xy 132.4805 111.378999) (xy 148.66975 111.378999) + (xy 148.66975 111.379) (xy 148.68867 111.522708) (xy 148.688671 111.522712) (xy 148.744137 111.656622) + (xy 148.744138 111.656624) (xy 148.744139 111.656625) (xy 148.832379 111.771621) (xy 148.947375 111.859861) + (xy 149.081291 111.91533) (xy 149.20828 111.932048) (xy 149.224999 111.93425) (xy 149.225 111.93425) + (xy 149.225001 111.93425) (xy 149.239977 111.932278) (xy 149.368709 111.91533) (xy 149.502625 111.859861) + (xy 149.617621 111.771621) (xy 149.705861 111.656625) (xy 149.76133 111.522709) (xy 149.78025 111.379) + (xy 149.76133 111.235291) (xy 149.705861 111.101375) (xy 149.617621 110.986379) (xy 149.502625 110.898139) + (xy 149.502624 110.898138) (xy 149.502622 110.898137) (xy 149.368712 110.842671) (xy 149.36871 110.84267) + (xy 149.368709 110.84267) (xy 149.296854 110.83321) (xy 149.225001 110.82375) (xy 149.224999 110.82375) + (xy 149.081291 110.84267) (xy 149.081287 110.842671) (xy 148.947377 110.898137) (xy 148.832379 110.986379) + (xy 148.744137 111.101377) (xy 148.688671 111.235287) (xy 148.68867 111.235291) (xy 148.66975 111.378999) + (xy 132.4805 111.378999) (xy 132.4805 108.838999) (xy 145.49475 108.838999) (xy 145.49475 108.839) + (xy 145.51367 108.982708) (xy 145.513671 108.982712) (xy 145.569137 109.116622) (xy 145.569138 109.116624) + (xy 145.569139 109.116625) (xy 145.657379 109.231621) (xy 145.772375 109.319861) (xy 145.906291 109.37533) + (xy 146.03328 109.392048) (xy 146.049999 109.39425) (xy 146.05 109.39425) (xy 146.050001 109.39425) + (xy 146.064977 109.392278) (xy 146.193709 109.37533) (xy 146.327625 109.319861) (xy 146.442621 109.231621) + (xy 146.530861 109.116625) (xy 146.58633 108.982709) (xy 146.60525 108.839) (xy 146.58633 108.695291) + (xy 146.530861 108.561375) (xy 146.442621 108.446379) (xy 146.327625 108.358139) (xy 146.327624 108.358138) + (xy 146.327622 108.358137) (xy 146.193712 108.302671) (xy 146.19371 108.30267) (xy 146.193709 108.30267) + (xy 146.121854 108.29321) (xy 146.050001 108.28375) (xy 146.049999 108.28375) (xy 145.906291 108.30267) + (xy 145.906287 108.302671) (xy 145.772377 108.358137) (xy 145.657379 108.446379) (xy 145.569137 108.561377) + (xy 145.513671 108.695287) (xy 145.51367 108.695291) (xy 145.49475 108.838999) (xy 132.4805 108.838999) + (xy 132.4805 105.409999) (xy 140.41475 105.409999) (xy 140.41475 105.41) (xy 140.43367 105.553708) + (xy 140.433671 105.553712) (xy 140.489137 105.687622) (xy 140.489138 105.687624) (xy 140.489139 105.687625) + (xy 140.577379 105.802621) (xy 140.692375 105.890861) (xy 140.826291 105.94633) (xy 140.95328 105.963048) + (xy 140.969999 105.96525) (xy 140.97 105.96525) (xy 140.970001 105.96525) (xy 140.984977 105.963278) + (xy 141.113709 105.94633) (xy 141.247625 105.890861) (xy 141.362621 105.802621) (xy 141.450861 105.687625) + (xy 141.50633 105.553709) (xy 141.517746 105.466999) (xy 144.04075 105.466999) (xy 144.04075 105.467) + (xy 144.05967 105.610708) (xy 144.059671 105.610712) (xy 144.115137 105.744622) (xy 144.115138 105.744624) + (xy 144.115139 105.744625) (xy 144.203379 105.859621) (xy 144.318375 105.947861) (xy 144.452291 106.00333) + (xy 144.57928 106.020048) (xy 144.595999 106.02225) (xy 144.596 106.02225) (xy 144.596001 106.02225) + (xy 144.610977 106.020278) (xy 144.739709 106.00333) (xy 144.873625 105.947861) (xy 144.988621 105.859621) + (xy 145.076861 105.744625) (xy 145.13233 105.610709) (xy 145.15125 105.467) (xy 145.13233 105.323291) + (xy 145.076861 105.189375) (xy 144.988621 105.074379) (xy 144.873625 104.986139) (xy 144.873624 104.986138) + (xy 144.873622 104.986137) (xy 144.739712 104.930671) (xy 144.73971 104.93067) (xy 144.739709 104.93067) + (xy 144.667854 104.92121) (xy 144.596001 104.91175) (xy 144.595999 104.91175) (xy 144.452291 104.93067) + (xy 144.452287 104.930671) (xy 144.318377 104.986137) (xy 144.203379 105.074379) (xy 144.115137 105.189377) + (xy 144.059671 105.323287) (xy 144.05967 105.323291) (xy 144.04075 105.466999) (xy 141.517746 105.466999) + (xy 141.52525 105.41) (xy 141.50633 105.266291) (xy 141.450861 105.132375) (xy 141.362621 105.017379) + (xy 141.247625 104.929139) (xy 141.247624 104.929138) (xy 141.247622 104.929137) (xy 141.113712 104.873671) + (xy 141.11371 104.87367) (xy 141.113709 104.87367) (xy 141.041854 104.86421) (xy 140.970001 104.85475) + (xy 140.969999 104.85475) (xy 140.826291 104.87367) (xy 140.826287 104.873671) (xy 140.692377 104.929137) + (xy 140.577379 105.017379) (xy 140.489137 105.132377) (xy 140.433671 105.266287) (xy 140.43367 105.266291) + (xy 140.41475 105.409999) (xy 132.4805 105.409999) (xy 132.4805 101.305451) (xy 132.500185 101.238412) + (xy 132.552989 101.192657) (xy 132.622147 101.182713) (xy 132.685703 101.211738) (xy 132.702874 101.229962) + (xy 132.703379 101.230621) (xy 132.818375 101.318861) (xy 132.952291 101.37433) (xy 133.07928 101.391048) + (xy 133.095999 101.39325) (xy 133.096 101.39325) (xy 133.096001 101.39325) (xy 133.110977 101.391278) + (xy 133.239709 101.37433) (xy 133.373625 101.318861) (xy 133.488621 101.230621) (xy 133.576861 101.115625) + (xy 133.63233 100.981709) (xy 133.65125 100.838) (xy 133.63233 100.694291) (xy 133.586647 100.584) + (xy 136.98575 100.584) (xy 137.001065 100.70033) (xy 137.00467 100.727708) (xy 137.004671 100.727712) + (xy 137.060137 100.861622) (xy 137.060138 100.861624) (xy 137.060139 100.861625) (xy 137.148379 100.976621) + (xy 137.263375 101.064861) (xy 137.397291 101.12033) (xy 137.52428 101.137048) (xy 137.540999 101.13925) + (xy 137.541 101.13925) (xy 137.541001 101.13925) (xy 137.555977 101.137278) (xy 137.684709 101.12033) + (xy 137.818625 101.064861) (xy 137.933621 100.976621) (xy 138.021861 100.861625) (xy 138.07733 100.727709) + (xy 138.09625 100.584) (xy 138.07733 100.440291) (xy 138.021861 100.306375) (xy 137.993182 100.269) + (xy 146.00275 100.269) (xy 146.019398 100.395455) (xy 146.02167 100.412708) (xy 146.021671 100.412712) + (xy 146.077137 100.546622) (xy 146.077138 100.546624) (xy 146.077139 100.546625) (xy 146.165379 100.661621) + (xy 146.174711 100.668782) (xy 146.177113 100.670625) (xy 146.218316 100.727053) (xy 146.22247 100.796799) + (xy 146.188257 100.857719) (xy 146.177113 100.867375) (xy 146.165381 100.876377) (xy 146.077137 100.991377) + (xy 146.021671 101.125287) (xy 146.02167 101.125291) (xy 146.00275 101.268999) (xy 146.00275 101.269) + (xy 146.02167 101.412708) (xy 146.021671 101.412712) (xy 146.077138 101.546623) (xy 146.077139 101.546625) + (xy 146.132302 101.618515) (xy 146.157496 101.683684) (xy 146.143458 101.752129) (xy 146.132302 101.769487) + (xy 146.077139 101.841377) (xy 146.077138 101.841379) (xy 146.021671 101.97529) (xy 146.02167 101.975294) + (xy 146.00275 102.119002) (xy 146.00275 102.119003) (xy 146.02167 102.262711) (xy 146.021671 102.262715) + (xy 146.077137 102.396625) (xy 146.077138 102.396627) (xy 146.077139 102.396628) (xy 146.165379 102.511624) + (xy 146.280375 102.599864) (xy 146.414291 102.655333) (xy 146.54128 102.672051) (xy 146.557999 102.674253) + (xy 146.558 102.674253) (xy 146.558001 102.674253) (xy 146.572977 102.672281) (xy 146.701709 102.655333) + (xy 146.835625 102.599864) (xy 146.950621 102.511624) (xy 147.038861 102.396628) (xy 147.09433 102.262712) + (xy 147.11325 102.119003) (xy 147.09433 101.975294) (xy 147.038861 101.841378) (xy 146.983696 101.769486) + (xy 146.958503 101.704318) (xy 146.972541 101.635874) (xy 146.983696 101.618516) (xy 147.038861 101.546625) + (xy 147.09433 101.412709) (xy 147.11325 101.269) (xy 147.09433 101.125291) (xy 147.038861 100.991375) + (xy 146.950621 100.876379) (xy 146.938886 100.867374) (xy 146.897685 100.81095) (xy 146.893529 100.741204) + (xy 146.92774 100.680283) (xy 146.938876 100.670632) (xy 146.950621 100.661621) (xy 147.038861 100.546625) + (xy 147.09433 100.412709) (xy 147.11325 100.269) (xy 147.099426 100.164) (xy 148.289394 100.164) + (xy 148.308138 100.306375) (xy 148.308314 100.307708) (xy 148.308315 100.307712) (xy 148.363781 100.441622) + (xy 148.363782 100.441624) (xy 148.363783 100.441625) (xy 148.452023 100.556621) (xy 148.567019 100.644861) + (xy 148.700935 100.70033) (xy 148.827924 100.717048) (xy 148.844643 100.71925) (xy 148.844644 100.71925) + (xy 148.844645 100.71925) (xy 148.859621 100.717278) (xy 148.988353 100.70033) (xy 149.122269 100.644861) + (xy 149.237265 100.556621) (xy 149.325505 100.441625) (xy 149.380974 100.307709) (xy 149.399894 100.164) + (xy 149.380974 100.020291) (xy 149.325505 99.886375) (xy 149.237265 99.771379) (xy 149.122269 99.683139) + (xy 149.122268 99.683138) (xy 149.122266 99.683137) (xy 148.988356 99.627671) (xy 148.988354 99.62767) + (xy 148.988353 99.62767) (xy 148.916498 99.61821) (xy 148.844645 99.60875) (xy 148.844643 99.60875) + (xy 148.700935 99.62767) (xy 148.700931 99.627671) (xy 148.567021 99.683137) (xy 148.452023 99.771379) + (xy 148.363781 99.886377) (xy 148.308315 100.020287) (xy 148.308314 100.020291) (xy 148.289394 100.164) + (xy 147.099426 100.164) (xy 147.09433 100.125291) (xy 147.038861 99.991375) (xy 146.950621 99.876379) + (xy 146.835625 99.788139) (xy 146.835624 99.788138) (xy 146.835622 99.788137) (xy 146.701712 99.732671) + (xy 146.70171 99.73267) (xy 146.701709 99.73267) (xy 146.629854 99.72321) (xy 146.558001 99.71375) + (xy 146.557999 99.71375) (xy 146.414291 99.73267) (xy 146.414287 99.732671) (xy 146.280377 99.788137) + (xy 146.165379 99.876379) (xy 146.077137 99.991377) (xy 146.021671 100.125287) (xy 146.02167 100.125291) + (xy 146.00275 100.269) (xy 137.993182 100.269) (xy 137.933621 100.191379) (xy 137.818625 100.103139) + (xy 137.818624 100.103138) (xy 137.818622 100.103137) (xy 137.684712 100.047671) (xy 137.68471 100.04767) + (xy 137.684709 100.04767) (xy 137.612854 100.03821) (xy 137.541001 100.02875) (xy 137.540999 100.02875) + (xy 137.397291 100.04767) (xy 137.397287 100.047671) (xy 137.263377 100.103137) (xy 137.148379 100.191379) + (xy 137.060137 100.306377) (xy 137.004671 100.440287) (xy 137.00467 100.440291) (xy 136.989355 100.556621) + (xy 136.98575 100.584) (xy 133.586647 100.584) (xy 133.576861 100.560375) (xy 133.488621 100.445379) + (xy 133.373625 100.357139) (xy 133.373624 100.357138) (xy 133.373622 100.357137) (xy 133.239712 100.301671) + (xy 133.23971 100.30167) (xy 133.239709 100.30167) (xy 133.167854 100.29221) (xy 133.096001 100.28275) + (xy 133.095999 100.28275) (xy 132.952291 100.30167) (xy 132.952287 100.301671) (xy 132.818377 100.357137) + (xy 132.703375 100.445382) (xy 132.702871 100.44604) (xy 132.702304 100.446453) (xy 132.697632 100.451126) + (xy 132.696903 100.450397) (xy 132.646441 100.48724) (xy 132.576695 100.49139) (xy 132.515776 100.457174) + (xy 132.483027 100.395455) (xy 132.4805 100.370548) (xy 132.4805 97.027996) (xy 133.179435 97.027996) + (xy 133.179435 97.028003) (xy 133.19963 97.207249) (xy 133.199631 97.207254) (xy 133.259211 97.377523) + (xy 133.350131 97.52222) (xy 133.355184 97.530262) (xy 133.482738 97.657816) (xy 133.635478 97.753789) + (xy 133.805745 97.813368) (xy 133.80575 97.813369) (xy 133.984996 97.833565) (xy 133.985 97.833565) + (xy 133.985004 97.833565) (xy 134.164249 97.813369) (xy 134.164252 97.813368) (xy 134.164255 97.813368) + (xy 134.334522 97.753789) (xy 134.487262 97.657816) (xy 134.614816 97.530262) (xy 134.710789 97.377522) + (xy 134.770368 97.207255) (xy 134.776256 97.155) (xy 136.49075 97.155) (xy 136.497629 97.207254) + (xy 136.50967 97.298708) (xy 136.509671 97.298712) (xy 136.565137 97.432622) (xy 136.565138 97.432624) + (xy 136.565139 97.432625) (xy 136.653379 97.547621) (xy 136.768375 97.635861) (xy 136.902291 97.69133) + (xy 137.02928 97.708048) (xy 137.045999 97.71025) (xy 137.046 97.71025) (xy 137.046001 97.71025) + (xy 137.060977 97.708278) (xy 137.189709 97.69133) (xy 137.323625 97.635861) (xy 137.438621 97.547621) + (xy 137.526861 97.432625) (xy 137.58233 97.298709) (xy 137.60125 97.155) (xy 137.597906 97.129599) + (xy 146.84095 97.129599) (xy 146.84095 97.1296) (xy 146.85987 97.273308) (xy 146.859871 97.273312) + (xy 146.915337 97.407222) (xy 146.915338 97.407224) (xy 146.915339 97.407225) (xy 147.003579 97.522221) + (xy 147.118575 97.610461) (xy 147.252491 97.66593) (xy 147.37948 97.682648) (xy 147.396199 97.68485) + (xy 147.3962 97.68485) (xy 147.396201 97.68485) (xy 147.411177 97.682878) (xy 147.539909 97.66593) + (xy 147.673825 97.610461) (xy 147.788821 97.522221) (xy 147.877061 97.407225) (xy 147.93253 97.273309) + (xy 147.95145 97.1296) (xy 147.93253 96.985891) (xy 147.877061 96.851975) (xy 147.788821 96.736979) + (xy 147.673825 96.648739) (xy 147.673824 96.648738) (xy 147.673822 96.648737) (xy 147.539912 96.593271) + (xy 147.53991 96.59327) (xy 147.539909 96.59327) (xy 147.468054 96.58381) (xy 147.396201 96.57435) + (xy 147.396199 96.57435) (xy 147.252491 96.59327) (xy 147.252487 96.593271) (xy 147.118577 96.648737) + (xy 147.003579 96.736979) (xy 146.915337 96.851977) (xy 146.859871 96.985887) (xy 146.85987 96.985891) + (xy 146.84095 97.129599) (xy 137.597906 97.129599) (xy 137.58233 97.011291) (xy 137.526861 96.877375) + (xy 137.438621 96.762379) (xy 137.323625 96.674139) (xy 137.323624 96.674138) (xy 137.323622 96.674137) + (xy 137.189712 96.618671) (xy 137.18971 96.61867) (xy 137.189709 96.61867) (xy 137.117854 96.60921) + (xy 137.046001 96.59975) (xy 137.045999 96.59975) (xy 136.902291 96.61867) (xy 136.902287 96.618671) + (xy 136.768377 96.674137) (xy 136.653379 96.762379) (xy 136.565137 96.877377) (xy 136.509671 97.011287) + (xy 136.50967 97.011291) (xy 136.49075 97.155) (xy 134.776256 97.155) (xy 134.790565 97.028) (xy 134.788682 97.011291) + (xy 134.770369 96.84875) (xy 134.770368 96.848745) (xy 134.710788 96.678476) (xy 134.614815 96.525737) + (xy 134.487262 96.398184) (xy 134.334523 96.302211) (xy 134.164254 96.242631) (xy 134.164249 96.24263) + (xy 134.120083 96.237654) (xy 142.846327 96.237654) (xy 142.846327 96.237655) (xy 142.865247 96.381363) + (xy 142.865248 96.381367) (xy 142.920714 96.515277) (xy 142.920715 96.515279) (xy 142.920716 96.51528) + (xy 143.008956 96.630276) (xy 143.123952 96.718516) (xy 143.257868 96.773985) (xy 143.384857 96.790703) + (xy 143.401576 96.792905) (xy 143.401577 96.792905) (xy 143.401578 96.792905) (xy 143.416554 96.790933) + (xy 143.545286 96.773985) (xy 143.679202 96.718516) (xy 143.794198 96.630276) (xy 143.882438 96.51528) + (xy 143.937907 96.381364) (xy 143.956827 96.237655) (xy 143.937907 96.093946) (xy 143.882438 95.96003) + (xy 143.794198 95.845034) (xy 143.679202 95.756794) (xy 143.679201 95.756793) (xy 143.679199 95.756792) + (xy 143.545289 95.701326) (xy 143.545287 95.701325) (xy 143.545286 95.701325) (xy 143.473431 95.691865) + (xy 143.401578 95.682405) (xy 143.401576 95.682405) (xy 143.257868 95.701325) (xy 143.257864 95.701326) + (xy 143.123954 95.756792) (xy 143.008956 95.845034) (xy 142.920714 95.960032) (xy 142.865248 96.093942) + (xy 142.865247 96.093946) (xy 142.846327 96.237654) (xy 134.120083 96.237654) (xy 133.985004 96.222435) + (xy 133.984996 96.222435) (xy 133.80575 96.24263) (xy 133.805745 96.242631) (xy 133.635476 96.302211) + (xy 133.482737 96.398184) (xy 133.355184 96.525737) (xy 133.259211 96.678476) (xy 133.199631 96.848745) + (xy 133.19963 96.84875) (xy 133.179435 97.027996) (xy 132.4805 97.027996) (xy 132.4805 94.741999) + (xy 142.44675 94.741999) (xy 142.44675 94.742) (xy 142.46567 94.885708) (xy 142.465671 94.885712) + (xy 142.521137 95.019622) (xy 142.521138 95.019624) (xy 142.521139 95.019625) (xy 142.609379 95.134621) + (xy 142.724375 95.222861) (xy 142.858291 95.27833) (xy 142.98528 95.295048) (xy 143.001999 95.29725) + (xy 143.002 95.29725) (xy 143.002001 95.29725) (xy 143.016977 95.295278) (xy 143.145709 95.27833) + (xy 143.279625 95.222861) (xy 143.394621 95.134621) (xy 143.482861 95.019625) (xy 143.53833 94.885709) + (xy 143.55725 94.742) (xy 143.54053 94.614999) (xy 146.76475 94.614999) (xy 146.76475 94.615) (xy 146.78367 94.758708) + (xy 146.783671 94.758712) (xy 146.839137 94.892622) (xy 146.839138 94.892624) (xy 146.839139 94.892625) + (xy 146.927379 95.007621) (xy 147.042375 95.095861) (xy 147.176291 95.15133) (xy 147.30328 95.168048) + (xy 147.319999 95.17025) (xy 147.32 95.17025) (xy 147.320001 95.17025) (xy 147.334977 95.168278) + (xy 147.463709 95.15133) (xy 147.597625 95.095861) (xy 147.712621 95.007621) (xy 147.800861 94.892625) + (xy 147.85633 94.758709) (xy 147.87525 94.615) (xy 147.85633 94.471291) (xy 147.800861 94.337375) + (xy 147.712621 94.222379) (xy 147.597625 94.134139) (xy 147.597624 94.134138) (xy 147.597622 94.134137) + (xy 147.463712 94.078671) (xy 147.46371 94.07867) (xy 147.463709 94.07867) (xy 147.391854 94.06921) + (xy 147.320001 94.05975) (xy 147.319999 94.05975) (xy 147.176291 94.07867) (xy 147.176287 94.078671) + (xy 147.042377 94.134137) (xy 146.927379 94.222379) (xy 146.839137 94.337377) (xy 146.783671 94.471287) + (xy 146.78367 94.471291) (xy 146.76475 94.614999) (xy 143.54053 94.614999) (xy 143.53833 94.598291) + (xy 143.482861 94.464375) (xy 143.394621 94.349379) (xy 143.279625 94.261139) (xy 143.279624 94.261138) + (xy 143.279622 94.261137) (xy 143.145712 94.205671) (xy 143.14571 94.20567) (xy 143.145709 94.20567) + (xy 143.073854 94.19621) (xy 143.002001 94.18675) (xy 143.001999 94.18675) (xy 142.858291 94.20567) + (xy 142.858287 94.205671) (xy 142.724377 94.261137) (xy 142.609379 94.349379) (xy 142.521137 94.464377) + (xy 142.465671 94.598287) (xy 142.46567 94.598291) (xy 142.44675 94.741999) (xy 132.4805 94.741999) + (xy 132.4805 92.455999) (xy 136.09675 92.455999) (xy 136.09675 92.456) (xy 136.11567 92.599708) + (xy 136.115671 92.599712) (xy 136.171137 92.733622) (xy 136.171138 92.733624) (xy 136.171139 92.733625) + (xy 136.259379 92.848621) (xy 136.374375 92.936861) (xy 136.508291 92.99233) (xy 136.63528 93.009048) + (xy 136.651999 93.01125) (xy 136.652 93.01125) (xy 136.652001 93.01125) (xy 136.666977 93.009278) + (xy 136.795709 92.99233) (xy 136.922049 92.939998) (xy 136.991517 92.93253) (xy 137.016945 92.939996) + (xy 137.143291 92.99233) (xy 137.27028 93.009048) (xy 137.286999 93.01125) (xy 137.287 93.01125) + (xy 137.287001 93.01125) (xy 137.301977 93.009278) (xy 137.430709 92.99233) (xy 137.499107 92.963999) + (xy 148.66975 92.963999) (xy 148.66975 92.964) (xy 148.68867 93.107708) (xy 148.688671 93.107712) + (xy 148.744137 93.241622) (xy 148.744138 93.241624) (xy 148.744139 93.241625) (xy 148.832379 93.356621) + (xy 148.947375 93.444861) (xy 149.081291 93.50033) (xy 149.20828 93.517048) (xy 149.224999 93.51925) + (xy 149.225 93.51925) (xy 149.225001 93.51925) (xy 149.239977 93.517278) (xy 149.368709 93.50033) + (xy 149.502625 93.444861) (xy 149.617621 93.356621) (xy 149.705861 93.241625) (xy 149.76133 93.107709) + (xy 149.78025 92.964) (xy 149.76133 92.820291) (xy 149.705861 92.686375) (xy 149.617621 92.571379) + (xy 149.502625 92.483139) (xy 149.502624 92.483138) (xy 149.502622 92.483137) (xy 149.368712 92.427671) + (xy 149.36871 92.42767) (xy 149.368709 92.42767) (xy 149.296854 92.41821) (xy 149.225001 92.40875) + (xy 149.224999 92.40875) (xy 149.081291 92.42767) (xy 149.081287 92.427671) (xy 148.947377 92.483137) + (xy 148.832379 92.571379) (xy 148.744137 92.686377) (xy 148.688671 92.820287) (xy 148.68867 92.820291) + (xy 148.66975 92.963999) (xy 137.499107 92.963999) (xy 137.564625 92.936861) (xy 137.679621 92.848621) + (xy 137.767861 92.733625) (xy 137.82333 92.599709) (xy 137.84225 92.456) (xy 137.82333 92.312291) + (xy 137.767861 92.178375) (xy 137.679621 92.063379) (xy 137.564625 91.975139) (xy 137.564624 91.975138) + (xy 137.564622 91.975137) (xy 137.469074 91.935561) (xy 137.41467 91.891721) (xy 137.392605 91.825427) + (xy 137.409884 91.757727) (xy 137.461021 91.710116) (xy 137.469074 91.706439) (xy 137.489852 91.697832) + (xy 137.564625 91.666861) (xy 137.679621 91.578621) (xy 137.767861 91.463625) (xy 137.82333 91.329709) + (xy 137.84225 91.186) (xy 137.82333 91.042291) (xy 137.767861 90.908375) (xy 137.679621 90.793379) + (xy 137.564625 90.705139) (xy 137.564624 90.705138) (xy 137.564622 90.705137) (xy 137.430712 90.649671) + (xy 137.43071 90.64967) (xy 137.430709 90.64967) (xy 137.358854 90.64021) (xy 137.287001 90.63075) + (xy 137.286999 90.63075) (xy 137.143291 90.64967) (xy 137.143287 90.649671) (xy 137.009377 90.705137) + (xy 136.894379 90.793379) (xy 136.806137 90.908377) (xy 136.750671 91.042287) (xy 136.75067 91.042291) + (xy 136.73175 91.185999) (xy 136.73175 91.186) (xy 136.75067 91.329708) (xy 136.750671 91.329712) + (xy 136.806137 91.463622) (xy 136.806138 91.463624) (xy 136.806139 91.463625) (xy 136.894379 91.578621) + (xy 137.009375 91.666861) (xy 137.041385 91.68012) (xy 137.104925 91.706439) (xy 137.159329 91.75028) + (xy 137.181394 91.816574) (xy 137.164115 91.884273) (xy 137.112978 91.931884) (xy 137.104925 91.935561) + (xy 137.016952 91.972) (xy 136.947483 91.979469) (xy 136.922048 91.972) (xy 136.795712 91.919671) + (xy 136.79571 91.91967) (xy 136.795709 91.91967) (xy 136.723854 91.91021) (xy 136.652001 91.90075) + (xy 136.651999 91.90075) (xy 136.508291 91.91967) (xy 136.508287 91.919671) (xy 136.374377 91.975137) + (xy 136.259379 92.063379) (xy 136.171137 92.178377) (xy 136.115671 92.312287) (xy 136.11567 92.312291) + (xy 136.09675 92.455999) (xy 132.4805 92.455999) (xy 132.4805 91.185999) (xy 133.55675 91.185999) + (xy 133.55675 91.186) (xy 133.57567 91.329708) (xy 133.575671 91.329712) (xy 133.631137 91.463622) + (xy 133.631138 91.463624) (xy 133.631139 91.463625) (xy 133.719379 91.578621) (xy 133.834375 91.666861) + (xy 133.968291 91.72233) (xy 134.09528 91.739048) (xy 134.111999 91.74125) (xy 134.112 91.74125) + (xy 134.112001 91.74125) (xy 134.126977 91.739278) (xy 134.255709 91.72233) (xy 134.389625 91.666861) + (xy 134.504621 91.578621) (xy 134.592861 91.463625) (xy 134.64833 91.329709) (xy 134.66725 91.186) + (xy 134.64833 91.042291) (xy 134.592861 90.908375) (xy 134.504621 90.793379) (xy 134.389625 90.705139) + (xy 134.389624 90.705138) (xy 134.389622 90.705137) (xy 134.255712 90.649671) (xy 134.25571 90.64967) + (xy 134.255709 90.64967) (xy 134.183854 90.64021) (xy 134.112001 90.63075) (xy 134.111999 90.63075) + (xy 133.968291 90.64967) (xy 133.968287 90.649671) (xy 133.834377 90.705137) (xy 133.719379 90.793379) + (xy 133.631137 90.908377) (xy 133.575671 91.042287) (xy 133.57567 91.042291) (xy 133.55675 91.185999) + (xy 132.4805 91.185999) (xy 132.4805 90.167999) (xy 143.340162 90.167999) (xy 143.340162 90.168) + (xy 143.359082 90.311708) (xy 143.359083 90.311712) (xy 143.414549 90.445622) (xy 143.41455 90.445624) + (xy 143.414551 90.445625) (xy 143.502791 90.560621) (xy 143.617787 90.648861) (xy 143.617788 90.648861) + (xy 143.617789 90.648862) (xy 143.662425 90.66735) (xy 143.751703 90.70433) (xy 143.878692 90.721048) + (xy 143.895411 90.72325) (xy 143.895412 90.72325) (xy 143.895413 90.72325) (xy 143.910389 90.721278) + (xy 144.039121 90.70433) (xy 144.173037 90.648861) (xy 144.288033 90.560621) (xy 144.376273 90.445625) + (xy 144.431742 90.311709) (xy 144.450662 90.168) (xy 144.431742 90.024291) (xy 144.376273 89.890375) + (xy 144.288033 89.775379) (xy 144.173037 89.687139) (xy 144.173036 89.687138) (xy 144.173034 89.687137) + (xy 144.039124 89.631671) (xy 144.039122 89.63167) (xy 144.039121 89.63167) (xy 143.967266 89.62221) + (xy 143.895413 89.61275) (xy 143.895411 89.61275) (xy 143.751703 89.63167) (xy 143.751699 89.631671) + (xy 143.617789 89.687137) (xy 143.502791 89.775379) (xy 143.414549 89.890377) (xy 143.359083 90.024287) + (xy 143.359082 90.024291) (xy 143.340162 90.167999) (xy 132.4805 90.167999) (xy 132.4805 88.391999) + (xy 136.47775 88.391999) (xy 136.47775 88.392) (xy 136.49667 88.535708) (xy 136.496671 88.535712) + (xy 136.552137 88.669622) (xy 136.552138 88.669624) (xy 136.552139 88.669625) (xy 136.640379 88.784621) + (xy 136.755375 88.872861) (xy 136.889291 88.92833) (xy 137.01628 88.945048) (xy 137.032999 88.94725) + (xy 137.033 88.94725) (xy 137.033001 88.94725) (xy 137.047977 88.945278) (xy 137.176709 88.92833) + (xy 137.310625 88.872861) (xy 137.338514 88.85146) (xy 137.403683 88.826267) (xy 137.472127 88.840305) + (xy 137.489481 88.851457) (xy 137.517375 88.872861) (xy 137.651291 88.92833) (xy 137.77828 88.945048) + (xy 137.794999 88.94725) (xy 137.795 88.94725) (xy 137.795001 88.94725) (xy 137.809977 88.945278) + (xy 137.938709 88.92833) (xy 138.072625 88.872861) (xy 138.187621 88.784621) (xy 138.275861 88.669625) + (xy 138.33133 88.535709) (xy 138.35025 88.392) (xy 138.33133 88.248291) (xy 138.275861 88.114375) + (xy 138.187621 87.999379) (xy 138.072625 87.911139) (xy 138.072624 87.911138) (xy 138.072622 87.911137) + (xy 137.938712 87.855671) (xy 137.93871 87.85567) (xy 137.938709 87.85567) (xy 137.866854 87.84621) + (xy 137.795001 87.83675) (xy 137.794999 87.83675) (xy 137.651291 87.85567) (xy 137.651287 87.855671) + (xy 137.517375 87.911138) (xy 137.489485 87.932539) (xy 137.424316 87.957732) (xy 137.355871 87.943693) + (xy 137.338515 87.932539) (xy 137.323379 87.920925) (xy 137.310625 87.911139) (xy 137.310623 87.911138) + (xy 137.310625 87.911138) (xy 137.176712 87.855671) (xy 137.17671 87.85567) (xy 137.176709 87.85567) + (xy 137.104854 87.84621) (xy 137.033001 87.83675) (xy 137.032999 87.83675) (xy 136.889291 87.85567) + (xy 136.889287 87.855671) (xy 136.755377 87.911137) (xy 136.640379 87.999379) (xy 136.552137 88.114377) + (xy 136.496671 88.248287) (xy 136.49667 88.248291) (xy 136.47775 88.391999) (xy 132.4805 88.391999) + (xy 132.4805 86.588999) (xy 136.475117 86.588999) (xy 136.475117 86.589) (xy 136.494037 86.732708) + (xy 136.494038 86.732712) (xy 136.549504 86.866622) (xy 136.549505 86.866624) (xy 136.549506 86.866625) + (xy 136.637746 86.981621) (xy 136.752742 87.069861) (xy 136.886658 87.12533) (xy 137.013647 87.142048) + (xy 137.030366 87.14425) (xy 137.030367 87.14425) (xy 137.030368 87.14425) (xy 137.045344 87.142278) + (xy 137.174076 87.12533) (xy 137.307992 87.069861) (xy 137.422988 86.981621) (xy 137.511228 86.866625) + (xy 137.566697 86.732709) (xy 137.585617 86.589) (xy 137.585617 86.588999) (xy 137.999117 86.588999) + (xy 137.999117 86.589) (xy 138.018037 86.732708) (xy 138.018038 86.732712) (xy 138.073504 86.866622) + (xy 138.073505 86.866624) (xy 138.073506 86.866625) (xy 138.161746 86.981621) (xy 138.276742 87.069861) + (xy 138.410658 87.12533) (xy 138.537647 87.142048) (xy 138.554366 87.14425) (xy 138.554367 87.14425) + (xy 138.554368 87.14425) (xy 138.569344 87.142278) (xy 138.698076 87.12533) (xy 138.831992 87.069861) + (xy 138.946988 86.981621) (xy 139.034174 86.867999) (xy 142.19275 86.867999) (xy 142.19275 86.868) + (xy 142.21167 87.011708) (xy 142.211671 87.011712) (xy 142.267137 87.145622) (xy 142.267138 87.145624) + (xy 142.267139 87.145625) (xy 142.355379 87.260621) (xy 142.470375 87.348861) (xy 142.604291 87.40433) + (xy 142.73128 87.421048) (xy 142.747999 87.42325) (xy 142.748 87.42325) (xy 142.748001 87.42325) + (xy 142.762977 87.421278) (xy 142.891709 87.40433) (xy 143.025625 87.348861) (xy 143.053514 87.32746) + (xy 143.118683 87.302267) (xy 143.187127 87.316305) (xy 143.204481 87.327457) (xy 143.232375 87.348861) + (xy 143.366291 87.40433) (xy 143.49328 87.421048) (xy 143.509999 87.42325) (xy 143.51 87.42325) + (xy 143.510001 87.42325) (xy 143.524977 87.421278) (xy 143.653709 87.40433) (xy 143.787625 87.348861) + (xy 143.902621 87.260621) (xy 143.990861 87.145625) (xy 144.04633 87.011709) (xy 144.06525 86.868) + (xy 144.04633 86.724291) (xy 143.990861 86.590375) (xy 143.902621 86.475379) (xy 143.787625 86.387139) + (xy 143.787624 86.387138) (xy 143.787622 86.387137) (xy 143.653712 86.331671) (xy 143.65371 86.33167) + (xy 143.653709 86.33167) (xy 143.581854 86.32221) (xy 143.510001 86.31275) (xy 143.509999 86.31275) + (xy 143.366291 86.33167) (xy 143.366287 86.331671) (xy 143.232375 86.387138) (xy 143.204485 86.408539) + (xy 143.139316 86.433732) (xy 143.070871 86.419693) (xy 143.053515 86.408539) (xy 143.038379 86.396925) + (xy 143.025625 86.387139) (xy 143.025623 86.387138) (xy 143.025625 86.387138) (xy 142.891712 86.331671) + (xy 142.89171 86.33167) (xy 142.891709 86.33167) (xy 142.819854 86.32221) (xy 142.748001 86.31275) + (xy 142.747999 86.31275) (xy 142.604291 86.33167) (xy 142.604287 86.331671) (xy 142.470377 86.387137) + (xy 142.355379 86.475379) (xy 142.267137 86.590377) (xy 142.211671 86.724287) (xy 142.21167 86.724291) + (xy 142.19275 86.867999) (xy 139.034174 86.867999) (xy 139.035228 86.866625) (xy 139.090697 86.732709) + (xy 139.109617 86.589) (xy 139.090697 86.445291) (xy 139.035228 86.311375) (xy 138.946988 86.196379) + (xy 138.831992 86.108139) (xy 138.831991 86.108138) (xy 138.831989 86.108137) (xy 138.698079 86.052671) + (xy 138.698077 86.05267) (xy 138.698076 86.05267) (xy 138.626221 86.04321) (xy 138.554368 86.03375) + (xy 138.554366 86.03375) (xy 138.410658 86.05267) (xy 138.410654 86.052671) (xy 138.276744 86.108137) + (xy 138.161746 86.196379) (xy 138.073504 86.311377) (xy 138.018038 86.445287) (xy 138.018037 86.445291) + (xy 137.999117 86.588999) (xy 137.585617 86.588999) (xy 137.566697 86.445291) (xy 137.511228 86.311375) + (xy 137.422988 86.196379) (xy 137.307992 86.108139) (xy 137.307991 86.108138) (xy 137.307989 86.108137) + (xy 137.174079 86.052671) (xy 137.174077 86.05267) (xy 137.174076 86.05267) (xy 137.102221 86.04321) + (xy 137.030368 86.03375) (xy 137.030366 86.03375) (xy 136.886658 86.05267) (xy 136.886654 86.052671) + (xy 136.752744 86.108137) (xy 136.637746 86.196379) (xy 136.549504 86.311377) (xy 136.494038 86.445287) + (xy 136.494037 86.445291) (xy 136.475117 86.588999) (xy 132.4805 86.588999) (xy 132.4805 82.569999) + (xy 135.954357 82.569999) (xy 135.954357 82.57) (xy 135.974884 82.791535) (xy 135.974885 82.791537) + (xy 136.035769 83.005523) (xy 136.035775 83.005538) (xy 136.134938 83.204683) (xy 136.134943 83.204691) + (xy 136.26902 83.382238) (xy 136.433437 83.532123) (xy 136.433439 83.532125) (xy 136.622595 83.649245) + (xy 136.622596 83.649245) (xy 136.622599 83.649247) (xy 136.83006 83.729618) (xy 137.048757 83.7705) + (xy 137.048759 83.7705) (xy 137.271241 83.7705) (xy 137.271243 83.7705) (xy 137.48994 83.729618) + (xy 137.697401 83.649247) (xy 137.886562 83.532124) (xy 138.050981 83.382236) (xy 138.185058 83.204689) + (xy 138.284229 83.005528) (xy 138.310734 82.912371) (xy 138.348013 82.853278) (xy 138.411323 82.823721) + (xy 138.480562 82.833083) (xy 138.533749 82.878393) (xy 138.549266 82.912372) (xy 138.575769 83.005523) + (xy 138.575775 83.005538) (xy 138.674938 83.204683) (xy 138.674943 83.204691) (xy 138.80902 83.382238) + (xy 138.973437 83.532123) (xy 138.973439 83.532125) (xy 139.162595 83.649245) (xy 139.162596 83.649245) + (xy 139.162599 83.649247) (xy 139.37006 83.729618) (xy 139.588757 83.7705) (xy 139.588759 83.7705) + (xy 139.811241 83.7705) (xy 139.811243 83.7705) (xy 140.02994 83.729618) (xy 140.237401 83.649247) + (xy 140.426562 83.532124) (xy 140.590981 83.382236) (xy 140.725058 83.204689) (xy 140.824229 83.005528) + (xy 140.850734 82.912371) (xy 140.888013 82.853278) (xy 140.951323 82.823721) (xy 141.020562 82.833083) + (xy 141.073749 82.878393) (xy 141.089266 82.912372) (xy 141.115769 83.005523) (xy 141.115775 83.005538) + (xy 141.214938 83.204683) (xy 141.214943 83.204691) (xy 141.34902 83.382238) (xy 141.513437 83.532123) + (xy 141.513439 83.532125) (xy 141.702595 83.649245) (xy 141.702596 83.649245) (xy 141.702599 83.649247) + (xy 141.91006 83.729618) (xy 142.128757 83.7705) (xy 142.128759 83.7705) (xy 142.351241 83.7705) + (xy 142.351243 83.7705) (xy 142.56994 83.729618) (xy 142.777401 83.649247) (xy 142.966562 83.532124) + (xy 143.130981 83.382236) (xy 143.265058 83.204689) (xy 143.364229 83.005528) (xy 143.390734 82.912371) + (xy 143.428013 82.853278) (xy 143.491323 82.823721) (xy 143.560562 82.833083) (xy 143.613749 82.878393) + (xy 143.629266 82.912372) (xy 143.655769 83.005523) (xy 143.655775 83.005538) (xy 143.754938 83.204683) + (xy 143.754943 83.204691) (xy 143.88902 83.382238) (xy 144.053437 83.532123) (xy 144.053439 83.532125) + (xy 144.242595 83.649245) (xy 144.242596 83.649245) (xy 144.242599 83.649247) (xy 144.45006 83.729618) + (xy 144.668757 83.7705) (xy 144.668759 83.7705) (xy 144.891241 83.7705) (xy 144.891243 83.7705) + (xy 145.10994 83.729618) (xy 145.317401 83.649247) (xy 145.506562 83.532124) (xy 145.670981 83.382236) + (xy 145.805058 83.204689) (xy 145.904229 83.005528) (xy 145.965115 82.791536) (xy 145.985643 82.57) + (xy 145.965115 82.348464) (xy 145.904229 82.134472) (xy 145.904224 82.134461) (xy 145.805061 81.935316) + (xy 145.805056 81.935308) (xy 145.670979 81.757761) (xy 145.506562 81.607876) (xy 145.50656 81.607874) + (xy 145.317404 81.490754) (xy 145.317398 81.490751) (xy 145.264159 81.470127) (xy 145.208758 81.427554) + (xy 145.185167 81.361787) (xy 145.200878 81.293707) (xy 145.250902 81.244928) (xy 145.308953 81.2305) + (xy 147.024676 81.2305) (xy 147.024677 81.230499) (xy 147.09774 81.215966) (xy 147.180601 81.160601) + (xy 147.235966 81.07774) (xy 147.2505 81.004674) (xy 147.2505 79.055326) (xy 147.2505 79.055323) + (xy 147.250499 79.055321) (xy 147.235967 78.982264) (xy 147.235966 78.98226) (xy 147.180601 78.899399) + (xy 147.09774 78.844034) (xy 147.097739 78.844033) (xy 147.097735 78.844032) (xy 147.024677 78.8295) + (xy 147.024674 78.8295) (xy 145.075326 78.8295) (xy 145.075323 78.8295) (xy 145.002264 78.844032) + (xy 145.00226 78.844033) (xy 144.919399 78.899399) (xy 144.864033 78.98226) (xy 144.864032 78.982264) + (xy 144.8495 79.055321) (xy 144.8495 79.499578) (xy 144.829815 79.566617) (xy 144.777011 79.612372) + (xy 144.707853 79.622316) (xy 144.644297 79.593291) (xy 144.6145 79.55485) (xy 144.535061 79.395316) + (xy 144.535056 79.395308) (xy 144.400979 79.217761) (xy 144.236562 79.067876) (xy 144.23656 79.067874) + (xy 144.047404 78.950754) (xy 144.047398 78.950752) (xy 143.83994 78.870382) (xy 143.621243 78.8295) + (xy 143.398757 78.8295) (xy 143.18006 78.870382) (xy 143.105159 78.899399) (xy 142.972601 78.950752) + (xy 142.972595 78.950754) (xy 142.783439 79.067874) (xy 142.783437 79.067876) (xy 142.61902 79.217761) + (xy 142.484943 79.395308) (xy 142.484938 79.395316) (xy 142.385775 79.594461) (xy 142.385769 79.594476) + (xy 142.359266 79.687627) (xy 142.321987 79.746721) (xy 142.258677 79.776278) (xy 142.189438 79.766916) + (xy 142.136251 79.721606) (xy 142.120734 79.687627) (xy 142.09423 79.594476) (xy 142.094229 79.594472) + (xy 142.080359 79.566617) (xy 141.995061 79.395316) (xy 141.995056 79.395308) (xy 141.860979 79.217761) + (xy 141.696562 79.067876) (xy 141.69656 79.067874) (xy 141.507404 78.950754) (xy 141.507398 78.950752) + (xy 141.29994 78.870382) (xy 141.081243 78.8295) (xy 140.858757 78.8295) (xy 140.64006 78.870382) + (xy 140.565159 78.899399) (xy 140.432601 78.950752) (xy 140.432595 78.950754) (xy 140.243439 79.067874) + (xy 140.243437 79.067876) (xy 140.07902 79.217761) (xy 139.944943 79.395308) (xy 139.944938 79.395316) + (xy 139.845775 79.594461) (xy 139.845769 79.594476) (xy 139.819266 79.687627) (xy 139.781987 79.746721) + (xy 139.718677 79.776278) (xy 139.649438 79.766916) (xy 139.596251 79.721606) (xy 139.580734 79.687627) + (xy 139.55423 79.594476) (xy 139.554229 79.594472) (xy 139.540359 79.566617) (xy 139.455061 79.395316) + (xy 139.455056 79.395308) (xy 139.320979 79.217761) (xy 139.156562 79.067876) (xy 139.15656 79.067874) + (xy 138.967404 78.950754) (xy 138.967398 78.950752) (xy 138.75994 78.870382) (xy 138.541243 78.8295) + (xy 138.318757 78.8295) (xy 138.10006 78.870382) (xy 138.025159 78.899399) (xy 137.892601 78.950752) + (xy 137.892595 78.950754) (xy 137.703439 79.067874) (xy 137.703437 79.067876) (xy 137.53902 79.217761) + (xy 137.404943 79.395308) (xy 137.404938 79.395316) (xy 137.305775 79.594461) (xy 137.305769 79.594476) + (xy 137.244885 79.808462) (xy 137.244884 79.808464) (xy 137.224357 80.029999) (xy 137.224357 80.03) + (xy 137.244884 80.251535) (xy 137.244885 80.251537) (xy 137.305769 80.465523) (xy 137.305775 80.465538) + (xy 137.404938 80.664683) (xy 137.404943 80.664691) (xy 137.53902 80.842238) (xy 137.703437 80.992123) + (xy 137.703439 80.992125) (xy 137.892595 81.109245) (xy 137.892596 81.109245) (xy 137.892599 81.109247) + (xy 138.10006 81.189618) (xy 138.318757 81.2305) (xy 138.318759 81.2305) (xy 138.541241 81.2305) + (xy 138.541243 81.2305) (xy 138.75994 81.189618) (xy 138.967401 81.109247) (xy 139.156562 80.992124) + (xy 139.320981 80.842236) (xy 139.455058 80.664689) (xy 139.554229 80.465528) (xy 139.580734 80.372371) + (xy 139.618013 80.313278) (xy 139.681323 80.283721) (xy 139.750562 80.293083) (xy 139.803749 80.338393) + (xy 139.819266 80.372372) (xy 139.845769 80.465523) (xy 139.845775 80.465538) (xy 139.944938 80.664683) + (xy 139.944943 80.664691) (xy 140.07902 80.842238) (xy 140.243437 80.992123) (xy 140.243439 80.992125) + (xy 140.432595 81.109245) (xy 140.432596 81.109245) (xy 140.432599 81.109247) (xy 140.64006 81.189618) + (xy 140.858757 81.2305) (xy 140.858759 81.2305) (xy 141.081241 81.2305) (xy 141.081243 81.2305) + (xy 141.29994 81.189618) (xy 141.507401 81.109247) (xy 141.696562 80.992124) (xy 141.860981 80.842236) + (xy 141.995058 80.664689) (xy 142.094229 80.465528) (xy 142.120734 80.372371) (xy 142.158013 80.313278) + (xy 142.221323 80.283721) (xy 142.290562 80.293083) (xy 142.343749 80.338393) (xy 142.359266 80.372372) + (xy 142.385769 80.465523) (xy 142.385775 80.465538) (xy 142.484938 80.664683) (xy 142.484943 80.664691) + (xy 142.61902 80.842238) (xy 142.783437 80.992123) (xy 142.783439 80.992125) (xy 142.972595 81.109245) + (xy 142.972596 81.109245) (xy 142.972599 81.109247) (xy 143.18006 81.189618) (xy 143.398757 81.2305) + (xy 143.398759 81.2305) (xy 143.621241 81.2305) (xy 143.621243 81.2305) (xy 143.83994 81.189618) + (xy 144.047401 81.109247) (xy 144.236562 80.992124) (xy 144.400981 80.842236) (xy 144.535058 80.664689) + (xy 144.6145 80.505149) (xy 144.662003 80.453912) (xy 144.729666 80.436491) (xy 144.796006 80.458417) + (xy 144.839961 80.512728) (xy 144.8495 80.560421) (xy 144.8495 81.004678) (xy 144.864032 81.077735) + (xy 144.864033 81.077739) (xy 144.864034 81.07774) (xy 144.919399 81.160601) (xy 144.9194 81.160601) + (xy 144.926185 81.170756) (xy 144.92435 81.171981) (xy 144.950102 81.219142) (xy 144.945118 81.288834) + (xy 144.903246 81.344767) (xy 144.837782 81.369184) (xy 144.828936 81.3695) (xy 144.668757 81.3695) + (xy 144.45006 81.410382) (xy 144.318864 81.461207) (xy 144.242601 81.490752) (xy 144.242595 81.490754) + (xy 144.053439 81.607874) (xy 144.053437 81.607876) (xy 143.88902 81.757761) (xy 143.754943 81.935308) + (xy 143.754938 81.935316) (xy 143.655775 82.134461) (xy 143.655769 82.134476) (xy 143.629266 82.227627) + (xy 143.591987 82.286721) (xy 143.528677 82.316278) (xy 143.459438 82.306916) (xy 143.406251 82.261606) + (xy 143.390734 82.227627) (xy 143.36423 82.134476) (xy 143.364229 82.134472) (xy 143.364224 82.134461) + (xy 143.265061 81.935316) (xy 143.265056 81.935308) (xy 143.130979 81.757761) (xy 142.966562 81.607876) + (xy 142.96656 81.607874) (xy 142.777404 81.490754) (xy 142.777398 81.490752) (xy 142.56994 81.410382) + (xy 142.351243 81.3695) (xy 142.128757 81.3695) (xy 141.91006 81.410382) (xy 141.778864 81.461207) + (xy 141.702601 81.490752) (xy 141.702595 81.490754) (xy 141.513439 81.607874) (xy 141.513437 81.607876) + (xy 141.34902 81.757761) (xy 141.214943 81.935308) (xy 141.214938 81.935316) (xy 141.115775 82.134461) + (xy 141.115769 82.134476) (xy 141.089266 82.227627) (xy 141.051987 82.286721) (xy 140.988677 82.316278) + (xy 140.919438 82.306916) (xy 140.866251 82.261606) (xy 140.850734 82.227627) (xy 140.82423 82.134476) + (xy 140.824229 82.134472) (xy 140.824224 82.134461) (xy 140.725061 81.935316) (xy 140.725056 81.935308) + (xy 140.590979 81.757761) (xy 140.426562 81.607876) (xy 140.42656 81.607874) (xy 140.237404 81.490754) + (xy 140.237398 81.490752) (xy 140.02994 81.410382) (xy 139.811243 81.3695) (xy 139.588757 81.3695) + (xy 139.37006 81.410382) (xy 139.238864 81.461207) (xy 139.162601 81.490752) (xy 139.162595 81.490754) + (xy 138.973439 81.607874) (xy 138.973437 81.607876) (xy 138.80902 81.757761) (xy 138.674943 81.935308) + (xy 138.674938 81.935316) (xy 138.575775 82.134461) (xy 138.575769 82.134476) (xy 138.549266 82.227627) + (xy 138.511987 82.286721) (xy 138.448677 82.316278) (xy 138.379438 82.306916) (xy 138.326251 82.261606) + (xy 138.310734 82.227627) (xy 138.28423 82.134476) (xy 138.284229 82.134472) (xy 138.284224 82.134461) + (xy 138.185061 81.935316) (xy 138.185056 81.935308) (xy 138.050979 81.757761) (xy 137.886562 81.607876) + (xy 137.88656 81.607874) (xy 137.697404 81.490754) (xy 137.697398 81.490752) (xy 137.48994 81.410382) + (xy 137.271243 81.3695) (xy 137.048757 81.3695) (xy 136.83006 81.410382) (xy 136.698864 81.461207) + (xy 136.622601 81.490752) (xy 136.622595 81.490754) (xy 136.433439 81.607874) (xy 136.433437 81.607876) + (xy 136.26902 81.757761) (xy 136.134943 81.935308) (xy 136.134938 81.935316) (xy 136.035775 82.134461) + (xy 136.035769 82.134476) (xy 135.974885 82.348462) (xy 135.974884 82.348464) (xy 135.954357 82.569999) + (xy 132.4805 82.569999) (xy 132.4805 77.883465) (xy 132.500185 77.816426) (xy 132.552989 77.770671) + (xy 132.622147 77.760727) (xy 132.685703 77.789752) (xy 132.698786 77.80293) (xy 132.730241 77.839759) + (xy 132.841589 77.934859) (xy 132.915823 77.998261) (xy 132.915826 77.998262) (xy 133.12391 78.125777) + (xy 133.349381 78.219169) (xy 133.349378 78.219169) (xy 133.349384 78.21917) (xy 133.349388 78.219172) + (xy 133.586698 78.276146) (xy 133.83 78.295294) (xy 134.073302 78.276146) (xy 134.310612 78.219172) + (xy 134.536089 78.125777) (xy 134.744179 77.998259) (xy 134.929759 77.839759) (xy 135.088259 77.654179) + (xy 135.215777 77.446089) (xy 135.309172 77.220612) (xy 135.366146 76.983302) (xy 135.385294 76.74) + (xy 135.366146 76.496698) (xy 135.309172 76.259388) (xy 135.215777 76.033911) (xy 135.215777 76.03391) + (xy 135.088262 75.825826) (xy 135.088261 75.825823) (xy 135.052453 75.783897) (xy 134.929759 75.640241) + (xy 134.804672 75.533407) (xy 134.744176 75.481738) (xy 134.744173 75.481737) (xy 134.536089 75.354222) + (xy 134.310618 75.26083) (xy 134.310621 75.26083) (xy 134.204992 75.23547) (xy 134.073302 75.203854) + (xy 134.0733 75.203853) (xy 134.073297 75.203853) (xy 133.83 75.184706) (xy 133.586702 75.203853) + (xy 133.34938 75.26083) (xy 133.12391 75.354222) (xy 132.915826 75.481737) (xy 132.915823 75.481738) + (xy 132.730241 75.640241) (xy 132.69879 75.677066) (xy 132.640283 75.715259) (xy 132.570416 75.715758) + (xy 132.511369 75.678404) (xy 132.481891 75.615057) (xy 132.4805 75.596534) (xy 132.4805 73.567065) + (xy 134.0145 73.567065) (xy 134.0145 73.812934) (xy 134.041227 74.015939) (xy 134.046591 74.056677) + (xy 134.046592 74.056679) (xy 134.110222 74.294152) (xy 134.110225 74.294162) (xy 134.204303 74.521285) + (xy 134.204306 74.521292) (xy 134.327233 74.734208) (xy 134.327235 74.734211) (xy 134.327236 74.734212) + (xy 134.476897 74.929254) (xy 134.476903 74.929261) (xy 134.650738 75.103096) (xy 134.650744 75.103101) + (xy 134.845792 75.252767) (xy 135.058708 75.375694) (xy 135.285847 75.469778) (xy 135.523323 75.533409) + (xy 135.767073 75.5655) (xy 135.76708 75.5655) (xy 136.01292 75.5655) (xy 136.012927 75.5655) (xy 136.256677 75.533409) + (xy 136.494153 75.469778) (xy 136.721292 75.375694) (xy 136.934208 75.252767) (xy 137.129256 75.103101) + (xy 137.303101 74.929256) (xy 137.452767 74.734208) (xy 137.575694 74.521292) (xy 137.669778 74.294153) + (xy 137.733409 74.056677) (xy 137.7655 73.812927) (xy 137.7655 73.567073) (xy 137.765499 73.567065) + (xy 145.4445 73.567065) (xy 145.4445 73.812934) (xy 145.471227 74.015939) (xy 145.476591 74.056677) + (xy 145.476592 74.056679) (xy 145.540222 74.294152) (xy 145.540225 74.294162) (xy 145.634303 74.521285) + (xy 145.634306 74.521292) (xy 145.757233 74.734208) (xy 145.757235 74.734211) (xy 145.757236 74.734212) + (xy 145.906897 74.929254) (xy 145.906903 74.929261) (xy 146.080738 75.103096) (xy 146.080744 75.103101) + (xy 146.275792 75.252767) (xy 146.488708 75.375694) (xy 146.715847 75.469778) (xy 146.953323 75.533409) + (xy 147.197073 75.5655) (xy 147.19708 75.5655) (xy 147.44292 75.5655) (xy 147.442927 75.5655) (xy 147.686677 75.533409) + (xy 147.924153 75.469778) (xy 148.151292 75.375694) (xy 148.364208 75.252767) (xy 148.559256 75.103101) + (xy 148.733101 74.929256) (xy 148.882767 74.734208) (xy 149.005694 74.521292) (xy 149.099778 74.294153) + (xy 149.163409 74.056677) (xy 149.1955 73.812927) (xy 149.1955 73.567073) (xy 149.163409 73.323323) + (xy 149.099778 73.085847) (xy 149.005694 72.858708) (xy 148.882767 72.645792) (xy 148.733101 72.450744) + (xy 148.733096 72.450738) (xy 148.559261 72.276903) (xy 148.559254 72.276897) (xy 148.364212 72.127236) + (xy 148.364211 72.127235) (xy 148.364208 72.127233) (xy 148.151292 72.004306) (xy 148.151285 72.004303) + (xy 147.924162 71.910225) (xy 147.924155 71.910223) (xy 147.924153 71.910222) (xy 147.686677 71.846591) + (xy 147.645939 71.841227) (xy 147.442934 71.8145) (xy 147.442927 71.8145) (xy 147.197073 71.8145) + (xy 147.197065 71.8145) (xy 146.965059 71.845045) (xy 146.953323 71.846591) (xy 146.715847 71.910222) + (xy 146.715837 71.910225) (xy 146.488714 72.004303) (xy 146.488705 72.004307) (xy 146.275787 72.127236) + (xy 146.080745 72.276897) (xy 146.080738 72.276903) (xy 145.906903 72.450738) (xy 145.906897 72.450745) + (xy 145.757236 72.645787) (xy 145.634307 72.858705) (xy 145.634303 72.858714) (xy 145.540225 73.085837) + (xy 145.540222 73.085847) (xy 145.476592 73.32332) (xy 145.47659 73.323331) (xy 145.4445 73.567065) + (xy 137.765499 73.567065) (xy 137.733409 73.323323) (xy 137.669778 73.085847) (xy 137.575694 72.858708) + (xy 137.452767 72.645792) (xy 137.303101 72.450744) (xy 137.303096 72.450738) (xy 137.129261 72.276903) + (xy 137.129254 72.276897) (xy 136.934212 72.127236) (xy 136.934211 72.127235) (xy 136.934208 72.127233) + (xy 136.721292 72.004306) (xy 136.721285 72.004303) (xy 136.494162 71.910225) (xy 136.494155 71.910223) + (xy 136.494153 71.910222) (xy 136.256677 71.846591) (xy 136.215939 71.841227) (xy 136.012934 71.8145) + (xy 136.012927 71.8145) (xy 135.767073 71.8145) (xy 135.767065 71.8145) (xy 135.535059 71.845045) + (xy 135.523323 71.846591) (xy 135.285847 71.910222) (xy 135.285837 71.910225) (xy 135.058714 72.004303) + (xy 135.058705 72.004307) (xy 134.845787 72.127236) (xy 134.650745 72.276897) (xy 134.650738 72.276903) + (xy 134.476903 72.450738) (xy 134.476897 72.450745) (xy 134.327236 72.645787) (xy 134.204307 72.858705) + (xy 134.204303 72.858714) (xy 134.110225 73.085837) (xy 134.110222 73.085847) (xy 134.046592 73.32332) + (xy 134.04659 73.323331) (xy 134.0145 73.567065) (xy 132.4805 73.567065) (xy 132.4805 70.309999) + (xy 134.079378 70.309999) (xy 134.079378 70.31) (xy 134.09982 70.530609) (xy 134.160454 70.743718) + (xy 134.259207 70.94204) (xy 134.259212 70.942048) (xy 134.392731 71.118856) (xy 134.533585 71.24726) + (xy 134.556464 71.268117) (xy 134.744837 71.384753) (xy 134.951434 71.464789) (xy 135.169221 71.5055) + (xy 135.169223 71.5055) (xy 135.390777 71.5055) (xy 135.390779 71.5055) (xy 135.608566 71.464789) + (xy 135.815163 71.384753) (xy 136.003536 71.268117) (xy 136.16727 71.118854) (xy 136.300789 70.942046) + (xy 136.399547 70.743715) (xy 136.460179 70.530614) (xy 136.480622 70.31) (xy 136.480622 70.309999) + (xy 144.189378 70.309999) (xy 144.189378 70.31) (xy 144.20982 70.530609) (xy 144.270454 70.743718) + (xy 144.369207 70.94204) (xy 144.369212 70.942048) (xy 144.502731 71.118856) (xy 144.643585 71.24726) + (xy 144.666464 71.268117) (xy 144.854837 71.384753) (xy 145.061434 71.464789) (xy 145.279221 71.5055) + (xy 145.279223 71.5055) (xy 145.500777 71.5055) (xy 145.500779 71.5055) (xy 145.718566 71.464789) + (xy 145.925163 71.384753) (xy 146.113536 71.268117) (xy 146.27727 71.118854) (xy 146.410789 70.942046) + (xy 146.509547 70.743715) (xy 146.570179 70.530614) (xy 146.590622 70.31) (xy 146.570179 70.089386) + (xy 146.509547 69.876285) (xy 146.503804 69.864752) (xy 146.410792 69.677959) (xy 146.410787 69.677951) + (xy 146.277268 69.501143) (xy 146.113537 69.351884) (xy 146.113536 69.351883) (xy 146.0418 69.307465) + (xy 145.925164 69.235247) (xy 145.925162 69.235246) (xy 145.745285 69.165562) (xy 145.718566 69.155211) + (xy 145.500779 69.1145) (xy 145.279221 69.1145) (xy 145.061434 69.155211) (xy 145.061431 69.155211) + (xy 145.061431 69.155212) (xy 144.854837 69.235246) (xy 144.854835 69.235247) (xy 144.666462 69.351884) + (xy 144.502731 69.501143) (xy 144.369212 69.677951) (xy 144.369207 69.677959) (xy 144.270454 69.876281) + (xy 144.20982 70.08939) (xy 144.189378 70.309999) (xy 136.480622 70.309999) (xy 136.460179 70.089386) + (xy 136.399547 69.876285) (xy 136.393804 69.864752) (xy 136.300792 69.677959) (xy 136.300787 69.677951) + (xy 136.167268 69.501143) (xy 136.003537 69.351884) (xy 136.003536 69.351883) (xy 135.9318 69.307465) + (xy 135.815164 69.235247) (xy 135.815162 69.235246) (xy 135.635285 69.165562) (xy 135.608566 69.155211) + (xy 135.390779 69.1145) (xy 135.169221 69.1145) (xy 134.951434 69.155211) (xy 134.951431 69.155211) + (xy 134.951431 69.155212) (xy 134.744837 69.235246) (xy 134.744835 69.235247) (xy 134.556462 69.351884) + (xy 134.392731 69.501143) (xy 134.259212 69.677951) (xy 134.259207 69.677959) (xy 134.160454 69.876281) + (xy 134.09982 70.08939) (xy 134.079378 70.309999) (xy 132.4805 70.309999) (xy 132.4805 68.789999) + (xy 136.619378 68.789999) (xy 136.619378 68.79) (xy 136.63982 69.010609) (xy 136.63982 69.010611) + (xy 136.639821 69.010614) (xy 136.683907 69.165562) (xy 136.700454 69.223718) (xy 136.799207 69.42204) + (xy 136.799212 69.422048) (xy 136.932731 69.598856) (xy 137.019504 69.677959) (xy 137.096464 69.748117) + (xy 137.284837 69.864753) (xy 137.491434 69.944789) (xy 137.709221 69.9855) (xy 137.709223 69.9855) + (xy 137.930777 69.9855) (xy 137.930779 69.9855) (xy 138.148566 69.944789) (xy 138.355163 69.864753) + (xy 138.543536 69.748117) (xy 138.70727 69.598854) (xy 138.840789 69.422046) (xy 138.939547 69.223715) + (xy 139.000179 69.010614) (xy 139.005687 68.951173) (xy 139.031471 68.886239) (xy 139.088271 68.84555) + (xy 139.158052 68.84203) (xy 139.204643 68.86424) (xy 139.233313 68.886239) (xy 139.295375 68.933861) + (xy 139.429291 68.98933) (xy 139.549135 69.005108) (xy 139.572999 69.00825) (xy 139.573 69.00825) + (xy 139.573001 69.00825) (xy 139.596865 69.005108) (xy 139.716709 68.98933) (xy 139.850625 68.933861) + (xy 139.878514 68.91246) (xy 139.943683 68.887267) (xy 140.012127 68.901305) (xy 140.029481 68.912457) + (xy 140.057375 68.933861) (xy 140.191291 68.98933) (xy 140.311138 69.005108) (xy 140.375034 69.033374) + (xy 140.413505 69.091699) (xy 140.417891 69.111861) (xy 140.43367 69.231707) (xy 140.433671 69.231712) + (xy 140.489137 69.365622) (xy 140.489138 69.365624) (xy 140.489139 69.365625) (xy 140.577379 69.480621) + (xy 140.692375 69.568861) (xy 140.826291 69.62433) (xy 140.95328 69.641048) (xy 140.969999 69.64325) + (xy 140.97 69.64325) (xy 140.970001 69.64325) (xy 140.984977 69.641278) (xy 141.113709 69.62433) + (xy 141.247625 69.568861) (xy 141.362621 69.480621) (xy 141.450861 69.365625) (xy 141.50633 69.231709) + (xy 141.506331 69.2317) (xy 141.508067 69.225224) (xy 141.544429 69.165562) (xy 141.607275 69.13503) + (xy 141.611633 69.134376) (xy 141.748709 69.11633) (xy 141.882625 69.060861) (xy 141.997621 68.972621) + (xy 142.085861 68.857625) (xy 142.113872 68.789999) (xy 146.729378 68.789999) (xy 146.729378 68.79) + (xy 146.74982 69.010609) (xy 146.74982 69.010611) (xy 146.749821 69.010614) (xy 146.793907 69.165562) + (xy 146.810454 69.223718) (xy 146.909207 69.42204) (xy 146.909212 69.422048) (xy 147.042731 69.598856) + (xy 147.129504 69.677959) (xy 147.206464 69.748117) (xy 147.394837 69.864753) (xy 147.601434 69.944789) + (xy 147.819221 69.9855) (xy 147.819223 69.9855) (xy 148.040777 69.9855) (xy 148.040779 69.9855) + (xy 148.258566 69.944789) (xy 148.465163 69.864753) (xy 148.653536 69.748117) (xy 148.81727 69.598854) + (xy 148.950789 69.422046) (xy 149.049547 69.223715) (xy 149.110179 69.010614) (xy 149.130622 68.79) + (xy 149.110179 68.569386) (xy 149.049547 68.356285) (xy 149.041254 68.339631) (xy 148.950792 68.157959) + (xy 148.950787 68.157951) (xy 148.817268 67.981143) (xy 148.653537 67.831884) (xy 148.653536 67.831883) + (xy 148.5818 67.787465) (xy 148.465164 67.715247) (xy 148.465162 67.715246) (xy 148.314099 67.656724) + (xy 148.258566 67.635211) (xy 148.040779 67.5945) (xy 147.819221 67.5945) (xy 147.601434 67.635211) + (xy 147.601431 67.635211) (xy 147.601431 67.635212) (xy 147.394837 67.715246) (xy 147.394835 67.715247) + (xy 147.206462 67.831884) (xy 147.042731 67.981143) (xy 146.909212 68.157951) (xy 146.909207 68.157959) + (xy 146.810454 68.356281) (xy 146.782935 68.453) (xy 146.75708 68.543875) (xy 146.74982 68.56939) + (xy 146.729378 68.789999) (xy 142.113872 68.789999) (xy 142.14133 68.723709) (xy 142.16025 68.58) + (xy 142.14133 68.436291) (xy 142.085861 68.302375) (xy 141.997621 68.187379) (xy 141.882625 68.099139) + (xy 141.882624 68.099138) (xy 141.882622 68.099137) (xy 141.748712 68.043671) (xy 141.74871 68.04367) + (xy 141.748709 68.04367) (xy 141.676854 68.03421) (xy 141.605001 68.02475) (xy 141.604999 68.02475) + (xy 141.461291 68.04367) (xy 141.461287 68.043671) (xy 141.327377 68.099137) (xy 141.212379 68.187379) + (xy 141.124138 68.302376) (xy 141.108707 68.339631) (xy 141.064865 68.394034) (xy 140.998571 68.416098) + (xy 140.930871 68.398818) (xy 140.883261 68.34768) (xy 140.873864 68.317025) (xy 140.873433 68.317141) + (xy 140.87133 68.309297) (xy 140.87133 68.309291) (xy 140.815861 68.175375) (xy 140.727621 68.060379) + (xy 140.612625 67.972139) (xy 140.612624 67.972138) (xy 140.612622 67.972137) (xy 140.478712 67.916671) + (xy 140.47871 67.91667) (xy 140.478709 67.91667) (xy 140.406854 67.90721) (xy 140.335001 67.89775) + (xy 140.334999 67.89775) (xy 140.191291 67.91667) (xy 140.191287 67.916671) (xy 140.057375 67.972138) + (xy 140.029485 67.993539) (xy 139.964316 68.018732) (xy 139.895871 68.004693) (xy 139.878515 67.993539) + (xy 139.862359 67.981143) (xy 139.850625 67.972139) (xy 139.850623 67.972138) (xy 139.850625 67.972138) + (xy 139.716712 67.916671) (xy 139.71671 67.91667) (xy 139.716709 67.91667) (xy 139.644854 67.90721) + (xy 139.573001 67.89775) (xy 139.572999 67.89775) (xy 139.429291 67.91667) (xy 139.429287 67.916671) + (xy 139.295377 67.972137) (xy 139.180379 68.060379) (xy 139.092136 68.175378) (xy 139.086133 68.189872) + (xy 139.042291 68.244274) (xy 138.975996 68.266336) (xy 138.908297 68.249055) (xy 138.860688 68.197916) + (xy 138.860574 68.197686) (xy 138.840794 68.157963) (xy 138.840787 68.157951) (xy 138.707268 67.981143) + (xy 138.543537 67.831884) (xy 138.543536 67.831883) (xy 138.4718 67.787465) (xy 138.355164 67.715247) + (xy 138.355162 67.715246) (xy 138.204099 67.656724) (xy 138.148566 67.635211) (xy 137.930779 67.5945) + (xy 137.709221 67.5945) (xy 137.491434 67.635211) (xy 137.491431 67.635211) (xy 137.491431 67.635212) + (xy 137.284837 67.715246) (xy 137.284835 67.715247) (xy 137.096462 67.831884) (xy 136.932731 67.981143) + (xy 136.799212 68.157951) (xy 136.799207 68.157959) (xy 136.700454 68.356281) (xy 136.672935 68.453) + (xy 136.64708 68.543875) (xy 136.63982 68.56939) (xy 136.619378 68.789999) (xy 132.4805 68.789999) + (xy 132.4805 63.2625) (xy 132.500185 63.195461) (xy 132.552989 63.149706) (xy 132.6045 63.1385) + (xy 150.6055 63.1385) + ) + ) + (filled_polygon + (layer "In2.Cu") + (pts + (xy 138.045 115.136988) (xy 137.987993 115.104075) (xy 137.860826 115.07) (xy 137.729174 115.07) + (xy 137.602007 115.104075) (xy 137.545 115.136988) (xy 137.545 113.463012) (xy 137.602007 113.495925) + (xy 137.729174 113.53) (xy 137.860826 113.53) (xy 137.987993 113.495925) (xy 138.045 113.463012) + ) + ) + (filled_polygon + (layer "In2.Cu") + (pts + (xy 150.672539 63.158185) (xy 150.718294 63.210989) (xy 150.7295 63.2625) (xy 150.7295 75.596534) + (xy 150.709815 75.663573) (xy 150.657011 75.709328) (xy 150.587853 75.719272) (xy 150.524297 75.690247) + (xy 150.51121 75.677066) (xy 150.499686 75.663573) (xy 150.479759 75.640241) (xy 150.354672 75.533407) + (xy 150.294176 75.481738) (xy 150.294173 75.481737) (xy 150.086089 75.354222) (xy 149.860618 75.26083) + (xy 149.860621 75.26083) (xy 149.754992 75.23547) (xy 149.623302 75.203854) (xy 149.6233 75.203853) + (xy 149.623297 75.203853) (xy 149.38 75.184706) (xy 149.136702 75.203853) (xy 148.89938 75.26083) + (xy 148.67391 75.354222) (xy 148.465826 75.481737) (xy 148.465823 75.481738) (xy 148.280241 75.640241) + (xy 148.121738 75.825823) (xy 148.121737 75.825826) (xy 147.994222 76.03391) (xy 147.90083 76.25938) + (xy 147.843853 76.496702) (xy 147.824706 76.74) (xy 147.843853 76.983297) (xy 147.90083 77.220619) + (xy 147.994222 77.446089) (xy 148.121737 77.654173) (xy 148.121738 77.654176) (xy 148.121741 77.654179) + (xy 148.280241 77.839759) (xy 148.423897 77.962453) (xy 148.465823 77.998261) (xy 148.465826 77.998262) + (xy 148.67391 78.125777) (xy 148.899381 78.219169) (xy 148.899378 78.219169) (xy 148.899384 78.21917) + (xy 148.899388 78.219172) (xy 149.136698 78.276146) (xy 149.38 78.295294) (xy 149.623302 78.276146) + (xy 149.860612 78.219172) (xy 150.086089 78.125777) (xy 150.294179 77.998259) (xy 150.479759 77.839759) + (xy 150.51121 77.802934) (xy 150.569715 77.764741) (xy 150.639583 77.764241) (xy 150.69863 77.801594) + (xy 150.728108 77.864941) (xy 150.7295 77.883465) (xy 150.7295 106.695148) (xy 150.709815 106.762187) + (xy 150.657011 106.807942) (xy 150.587853 106.817886) (xy 150.524297 106.788861) (xy 150.507129 106.77064) + (xy 150.506624 106.769982) (xy 150.506622 106.76998) (xy 150.506621 106.769979) (xy 150.391625 106.681739) + (xy 150.391624 106.681738) (xy 150.391622 106.681737) (xy 150.257712 106.626271) (xy 150.25771 106.62627) + (xy 150.257709 106.62627) (xy 150.185854 106.61681) (xy 150.114001 106.60735) (xy 150.113999 106.60735) + (xy 149.970291 106.62627) (xy 149.970287 106.626271) (xy 149.836377 106.681737) (xy 149.721379 106.769979) + (xy 149.633137 106.884977) (xy 149.577671 107.018887) (xy 149.57767 107.018891) (xy 149.55875 107.162599) + (xy 149.55875 107.1626) (xy 149.57767 107.306308) (xy 149.577671 107.306312) (xy 149.633137 107.440222) + (xy 149.633138 107.440224) (xy 149.633139 107.440225) (xy 149.721379 107.555221) (xy 149.836375 107.643461) + (xy 149.970291 107.69893) (xy 150.09728 107.715648) (xy 150.113999 107.71785) (xy 150.114 107.71785) + (xy 150.114001 107.71785) (xy 150.128977 107.715878) (xy 150.257709 107.69893) (xy 150.391625 107.643461) + (xy 150.506621 107.555221) (xy 150.507124 107.554564) (xy 150.507691 107.55415) (xy 150.512368 107.549474) + (xy 150.513097 107.550203) (xy 150.563551 107.513362) (xy 150.633297 107.509207) (xy 150.694218 107.543419) + (xy 150.726971 107.605136) (xy 150.7295 107.630051) (xy 150.7295 125.2055) (xy 150.709815 125.272539) + (xy 150.657011 125.318294) (xy 150.6055 125.3295) (xy 132.6045 125.3295) (xy 132.537461 125.309815) + (xy 132.491706 125.257011) (xy 132.4805 125.2055) (xy 132.4805 115.569999) (xy 134.149785 115.569999) + (xy 134.149785 115.57) (xy 134.168602 115.773082) (xy 134.224417 115.969247) (xy 134.224422 115.96926) + (xy 134.315327 116.151821) (xy 134.438237 116.314581) (xy 134.588958 116.45198) (xy 134.58896 116.451982) + (xy 134.688141 116.513392) (xy 134.762363 116.559348) (xy 134.952544 116.633024) (xy 135.153024 116.6705) + (xy 135.153026 116.6705) (xy 135.356974 116.6705) (xy 135.356976 116.6705) (xy 135.557456 116.633024) + (xy 135.747637 116.559348) (xy 135.921041 116.451981) (xy 136.071764 116.314579) (xy 136.194673 116.151821) + (xy 136.256598 116.027456) (xy 136.281817 115.976812) (xy 136.329319 115.925575) (xy 136.396982 115.908153) + (xy 136.463323 115.930078) (xy 136.507278 115.984389) (xy 136.512592 115.999989) (xy 136.521567 116.033485) + (xy 136.52157 116.033492) (xy 136.621399 116.247578) (xy 136.756894 116.441082) (xy 136.923917 116.608105) + (xy 137.117421 116.7436) (xy 137.331507 116.843429) (xy 137.331516 116.843433) (xy 137.545 116.900634) + (xy 137.545 116.003012) (xy 137.602007 116.035925) (xy 137.729174 116.07) (xy 137.860826 116.07) + (xy 137.987993 116.035925) (xy 138.045 116.003012) (xy 138.045 116.900633) (xy 138.258483 116.843433) + (xy 138.258492 116.843429) (xy 138.472578 116.7436) (xy 138.666082 116.608105) (xy 138.833105 116.441082) + (xy 138.9686 116.247578) (xy 139.068429 116.033492) (xy 139.068431 116.033489) (xy 139.077406 115.999992) + (xy 139.11377 115.940331) (xy 139.176616 115.9098) (xy 139.245992 115.918094) (xy 139.299871 115.962578) + (xy 139.308182 115.976811) (xy 139.395327 116.151821) (xy 139.518237 116.314581) (xy 139.668958 116.45198) + (xy 139.66896 116.451982) (xy 139.768141 116.513392) (xy 139.842363 116.559348) (xy 140.032544 116.633024) + (xy 140.233024 116.6705) (xy 140.233026 116.6705) (xy 140.436974 116.6705) (xy 140.436976 116.6705) + (xy 140.637456 116.633024) (xy 140.827637 116.559348) (xy 141.001041 116.451981) (xy 141.151764 116.314579) + (xy 141.274673 116.151821) (xy 141.365582 115.96925) (xy 141.421397 115.773083) (xy 141.440215 115.57) + (xy 141.440215 115.569999) (xy 141.769785 115.569999) (xy 141.769785 115.57) (xy 141.788602 115.773082) + (xy 141.844417 115.969247) (xy 141.844422 115.96926) (xy 141.935327 116.151821) (xy 142.058237 116.314581) + (xy 142.208958 116.45198) (xy 142.20896 116.451982) (xy 142.308141 116.513392) (xy 142.382363 116.559348) + (xy 142.572544 116.633024) (xy 142.773024 116.6705) (xy 142.773026 116.6705) (xy 142.976974 116.6705) + (xy 142.976976 116.6705) (xy 143.177456 116.633024) (xy 143.367637 116.559348) (xy 143.541041 116.451981) + (xy 143.691764 116.314579) (xy 143.814673 116.151821) (xy 143.905582 115.96925) (xy 143.961397 115.773083) + (xy 143.980215 115.57) (xy 143.980215 115.569999) (xy 144.309785 115.569999) (xy 144.309785 115.57) + (xy 144.328602 115.773082) (xy 144.384417 115.969247) (xy 144.384422 115.96926) (xy 144.475327 116.151821) + (xy 144.598237 116.314581) (xy 144.748958 116.45198) (xy 144.74896 116.451982) (xy 144.848141 116.513392) + (xy 144.922363 116.559348) (xy 145.112544 116.633024) (xy 145.313024 116.6705) (xy 145.313026 116.6705) + (xy 145.516974 116.6705) (xy 145.516976 116.6705) (xy 145.717456 116.633024) (xy 145.907637 116.559348) + (xy 146.081041 116.451981) (xy 146.231764 116.314579) (xy 146.354673 116.151821) (xy 146.445582 115.96925) + (xy 146.501397 115.773083) (xy 146.520215 115.57) (xy 146.514115 115.504174) (xy 146.501397 115.366917) + (xy 146.445582 115.17075) (xy 146.445159 115.169901) (xy 146.369075 115.017103) (xy 146.354673 114.988179) + (xy 146.231764 114.825421) (xy 146.231762 114.825418) (xy 146.081041 114.688019) (xy 146.081039 114.688017) + (xy 145.934965 114.597573) (xy 145.907637 114.580652) (xy 145.907636 114.580651) (xy 145.907635 114.580651) + (xy 145.781767 114.53189) (xy 145.717456 114.506976) (xy 145.516976 114.4695) (xy 145.313024 114.4695) + (xy 145.112544 114.506976) (xy 145.112541 114.506976) (xy 145.112541 114.506977) (xy 144.922364 114.580651) + (xy 144.922363 114.580652) (xy 144.906141 114.590696) (xy 144.74896 114.688017) (xy 144.748958 114.688019) + (xy 144.598237 114.825418) (xy 144.475327 114.988178) (xy 144.384422 115.170739) (xy 144.384417 115.170752) + (xy 144.328602 115.366917) (xy 144.309785 115.569999) (xy 143.980215 115.569999) (xy 143.974115 115.504174) + (xy 143.961397 115.366917) (xy 143.905582 115.17075) (xy 143.905159 115.169901) (xy 143.829075 115.017103) + (xy 143.814673 114.988179) (xy 143.691764 114.825421) (xy 143.691762 114.825418) (xy 143.541041 114.688019) + (xy 143.541039 114.688017) (xy 143.394965 114.597573) (xy 143.367637 114.580652) (xy 143.367636 114.580651) + (xy 143.367635 114.580651) (xy 143.241767 114.53189) (xy 143.177456 114.506976) (xy 142.976976 114.4695) + (xy 142.773024 114.4695) (xy 142.572544 114.506976) (xy 142.572541 114.506976) (xy 142.572541 114.506977) + (xy 142.382364 114.580651) (xy 142.382363 114.580652) (xy 142.366141 114.590696) (xy 142.20896 114.688017) + (xy 142.208958 114.688019) (xy 142.058237 114.825418) (xy 141.935327 114.988178) (xy 141.844422 115.170739) + (xy 141.844417 115.170752) (xy 141.788602 115.366917) (xy 141.769785 115.569999) (xy 141.440215 115.569999) + (xy 141.434115 115.504174) (xy 141.421397 115.366917) (xy 141.365582 115.17075) (xy 141.365159 115.169901) + (xy 141.289075 115.017103) (xy 141.274673 114.988179) (xy 141.151764 114.825421) (xy 141.151762 114.825418) + (xy 141.001041 114.688019) (xy 141.001039 114.688017) (xy 140.854965 114.597573) (xy 140.827637 114.580652) + (xy 140.827636 114.580651) (xy 140.827635 114.580651) (xy 140.701767 114.53189) (xy 140.637456 114.506976) + (xy 140.436976 114.4695) (xy 140.233024 114.4695) (xy 140.032544 114.506976) (xy 140.032541 114.506976) + (xy 140.032541 114.506977) (xy 139.842364 114.580651) (xy 139.842363 114.580652) (xy 139.826141 114.590696) + (xy 139.66896 114.688017) (xy 139.668958 114.688019) (xy 139.518237 114.825418) (xy 139.395327 114.988178) + (xy 139.308182 115.163188) (xy 139.260679 115.214425) (xy 139.193016 115.231846) (xy 139.126676 115.20992) + (xy 139.082721 115.155609) (xy 139.077407 115.140008) (xy 139.068434 115.106518) (xy 139.068429 115.106507) + (xy 138.9686 114.892422) (xy 138.968599 114.89242) (xy 138.833113 114.698926) (xy 138.833108 114.69892) + (xy 138.666082 114.531894) (xy 138.479968 114.401575) (xy 138.436344 114.346998) (xy 138.429151 114.277499) + (xy 138.460673 114.215145) (xy 138.479968 114.198425) (xy 138.666082 114.068105) (xy 138.833105 113.901082) + (xy 138.9686 113.707578) (xy 139.068429 113.493492) (xy 139.068431 113.493489) (xy 139.077406 113.459992) + (xy 139.11377 113.400331) (xy 139.176616 113.3698) (xy 139.245992 113.378094) (xy 139.299871 113.422578) + (xy 139.308182 113.436811) (xy 139.395327 113.611821) (xy 139.518237 113.774581) (xy 139.668958 113.91198) + (xy 139.66896 113.911982) (xy 139.744807 113.958944) (xy 139.842363 114.019348) (xy 140.032544 114.093024) + (xy 140.233024 114.1305) (xy 140.233026 114.1305) (xy 140.436974 114.1305) (xy 140.436976 114.1305) + (xy 140.637456 114.093024) (xy 140.827637 114.019348) (xy 141.001041 113.911981) (xy 141.151764 113.774579) + (xy 141.274673 113.611821) (xy 141.365582 113.42925) (xy 141.421397 113.233083) (xy 141.440215 113.03) + (xy 141.440215 113.029999) (xy 141.769785 113.029999) (xy 141.769785 113.03) (xy 141.788602 113.233082) + (xy 141.844417 113.429247) (xy 141.844422 113.42926) (xy 141.935327 113.611821) (xy 142.058237 113.774581) + (xy 142.208958 113.91198) (xy 142.20896 113.911982) (xy 142.284807 113.958944) (xy 142.382363 114.019348) + (xy 142.572544 114.093024) (xy 142.773024 114.1305) (xy 142.773026 114.1305) (xy 142.976974 114.1305) + (xy 142.976976 114.1305) (xy 143.177456 114.093024) (xy 143.367637 114.019348) (xy 143.541041 113.911981) + (xy 143.691764 113.774579) (xy 143.814673 113.611821) (xy 143.905582 113.42925) (xy 143.961397 113.233083) + (xy 143.980215 113.03) (xy 143.980215 113.029999) (xy 144.309785 113.029999) (xy 144.309785 113.03) + (xy 144.328602 113.233082) (xy 144.384417 113.429247) (xy 144.384422 113.42926) (xy 144.475327 113.611821) + (xy 144.598237 113.774581) (xy 144.748958 113.91198) (xy 144.74896 113.911982) (xy 144.824807 113.958944) + (xy 144.922363 114.019348) (xy 145.112544 114.093024) (xy 145.313024 114.1305) (xy 145.313026 114.1305) + (xy 145.516974 114.1305) (xy 145.516976 114.1305) (xy 145.717456 114.093024) (xy 145.907637 114.019348) + (xy 146.081041 113.911981) (xy 146.231764 113.774579) (xy 146.354673 113.611821) (xy 146.445582 113.42925) + (xy 146.501397 113.233083) (xy 146.520215 113.03) (xy 146.514115 112.964174) (xy 146.501397 112.826917) + (xy 146.445582 112.63075) (xy 146.445159 112.629901) (xy 146.364093 112.467098) (xy 146.354673 112.448179) + (xy 146.231764 112.285421) (xy 146.231762 112.285418) (xy 146.081041 112.148019) (xy 146.081039 112.148017) + (xy 145.907642 112.040655) (xy 145.907639 112.040653) (xy 145.907637 112.040652) (xy 145.907636 112.040651) + (xy 145.907635 112.040651) (xy 145.781769 111.991891) (xy 145.717456 111.966976) (xy 145.516976 111.9295) + (xy 145.313024 111.9295) (xy 145.112544 111.966976) (xy 145.112541 111.966976) (xy 145.112541 111.966977) + (xy 144.922364 112.040651) (xy 144.922357 112.040655) (xy 144.74896 112.148017) (xy 144.748958 112.148019) + (xy 144.598237 112.285418) (xy 144.475327 112.448178) (xy 144.384422 112.630739) (xy 144.384417 112.630752) + (xy 144.328602 112.826917) (xy 144.309785 113.029999) (xy 143.980215 113.029999) (xy 143.974115 112.964174) + (xy 143.961397 112.826917) (xy 143.905582 112.63075) (xy 143.905159 112.629901) (xy 143.824093 112.467098) + (xy 143.814673 112.448179) (xy 143.691764 112.285421) (xy 143.691762 112.285418) (xy 143.541041 112.148019) + (xy 143.541039 112.148017) (xy 143.367642 112.040655) (xy 143.367639 112.040653) (xy 143.367637 112.040652) + (xy 143.367636 112.040651) (xy 143.367635 112.040651) (xy 143.241769 111.991891) (xy 143.177456 111.966976) + (xy 142.976976 111.9295) (xy 142.773024 111.9295) (xy 142.572544 111.966976) (xy 142.572541 111.966976) + (xy 142.572541 111.966977) (xy 142.382364 112.040651) (xy 142.382357 112.040655) (xy 142.20896 112.148017) + (xy 142.208958 112.148019) (xy 142.058237 112.285418) (xy 141.935327 112.448178) (xy 141.844422 112.630739) + (xy 141.844417 112.630752) (xy 141.788602 112.826917) (xy 141.769785 113.029999) (xy 141.440215 113.029999) + (xy 141.434115 112.964174) (xy 141.421397 112.826917) (xy 141.365582 112.63075) (xy 141.365159 112.629901) + (xy 141.284093 112.467098) (xy 141.274673 112.448179) (xy 141.151764 112.285421) (xy 141.151762 112.285418) + (xy 141.001041 112.148019) (xy 141.001039 112.148017) (xy 140.827642 112.040655) (xy 140.827639 112.040653) + (xy 140.827637 112.040652) (xy 140.827636 112.040651) (xy 140.827635 112.040651) (xy 140.701769 111.991891) + (xy 140.637456 111.966976) (xy 140.436976 111.9295) (xy 140.233024 111.9295) (xy 140.032544 111.966976) + (xy 140.032541 111.966976) (xy 140.032541 111.966977) (xy 139.842364 112.040651) (xy 139.842357 112.040655) + (xy 139.66896 112.148017) (xy 139.668958 112.148019) (xy 139.518237 112.285418) (xy 139.395327 112.448178) + (xy 139.308182 112.623188) (xy 139.260679 112.674425) (xy 139.193016 112.691846) (xy 139.126676 112.66992) + (xy 139.082721 112.615609) (xy 139.077407 112.600008) (xy 139.068434 112.566518) (xy 139.068429 112.566507) + (xy 138.9686 112.352422) (xy 138.968599 112.35242) (xy 138.833113 112.158926) (xy 138.833108 112.15892) + (xy 138.666082 111.991894) (xy 138.472578 111.856399) (xy 138.258492 111.75657) (xy 138.258486 111.756567) + (xy 138.045 111.699364) (xy 138.045 112.596988) (xy 137.987993 112.564075) (xy 137.860826 112.53) + (xy 137.729174 112.53) (xy 137.602007 112.564075) (xy 137.545 112.596988) (xy 137.545 111.699364) + (xy 137.544999 111.699364) (xy 137.331513 111.756567) (xy 137.331507 111.75657) (xy 137.117422 111.856399) + (xy 137.11742 111.8564) (xy 136.923926 111.991886) (xy 136.92392 111.991891) (xy 136.756891 112.15892) + (xy 136.756886 112.158926) (xy 136.6214 112.35242) (xy 136.621399 112.352422) (xy 136.591882 112.415723) + (xy 136.54571 112.468162) (xy 136.478516 112.487314) (xy 136.411635 112.467098) (xy 136.3663 112.413933) + (xy 136.3555 112.363318) (xy 136.3555 112.155323) (xy 136.355499 112.155321) (xy 136.340967 112.082264) + (xy 136.340966 112.08226) (xy 136.285601 111.999399) (xy 136.20274 111.944034) (xy 136.202739 111.944033) + (xy 136.202735 111.944032) (xy 136.129677 111.9295) (xy 136.129674 111.9295) (xy 134.380326 111.9295) + (xy 134.380323 111.9295) (xy 134.307264 111.944032) (xy 134.30726 111.944033) (xy 134.224399 111.999399) + (xy 134.169033 112.08226) (xy 134.169032 112.082264) (xy 134.1545 112.155321) (xy 134.1545 113.904678) + (xy 134.169032 113.977735) (xy 134.169033 113.977739) (xy 134.169034 113.97774) (xy 134.224399 114.060601) + (xy 134.30726 114.115966) (xy 134.307264 114.115967) (xy 134.380321 114.130499) (xy 134.380324 114.1305) + (xy 134.380326 114.1305) (xy 136.129676 114.1305) (xy 136.129677 114.130499) (xy 136.20274 114.115966) + (xy 136.285601 114.060601) (xy 136.340966 113.97774) (xy 136.3555 113.904674) (xy 136.3555 113.696681) + (xy 136.375185 113.629642) (xy 136.427989 113.583887) (xy 136.497147 113.573943) (xy 136.560703 113.602968) + (xy 136.591882 113.644277) (xy 136.621398 113.707575) (xy 136.621399 113.707577) (xy 136.756894 113.901082) + (xy 136.923917 114.068105) (xy 137.110031 114.198425) (xy 137.153656 114.253003) (xy 137.160848 114.322501) + (xy 137.129326 114.384856) (xy 137.110031 114.401575) (xy 136.923922 114.53189) (xy 136.92392 114.531891) + (xy 136.756891 114.69892) (xy 136.756886 114.698926) (xy 136.6214 114.89242) (xy 136.621399 114.892422) + (xy 136.52157 115.106507) (xy 136.521568 115.106511) (xy 136.512592 115.140011) (xy 136.476226 115.199671) + (xy 136.413379 115.230199) (xy 136.344003 115.221904) (xy 136.290126 115.177418) (xy 136.281817 115.163188) + (xy 136.209075 115.017103) (xy 136.194673 114.988179) (xy 136.071764 114.825421) (xy 136.071762 114.825418) + (xy 135.921041 114.688019) (xy 135.921039 114.688017) (xy 135.774965 114.597573) (xy 135.747637 114.580652) + (xy 135.747636 114.580651) (xy 135.747635 114.580651) (xy 135.621767 114.53189) (xy 135.557456 114.506976) + (xy 135.356976 114.4695) (xy 135.153024 114.4695) (xy 134.952544 114.506976) (xy 134.952541 114.506976) + (xy 134.952541 114.506977) (xy 134.762364 114.580651) (xy 134.762363 114.580652) (xy 134.746141 114.590696) + (xy 134.58896 114.688017) (xy 134.588958 114.688019) (xy 134.438237 114.825418) (xy 134.315327 114.988178) + (xy 134.224422 115.170739) (xy 134.224417 115.170752) (xy 134.168602 115.366917) (xy 134.149785 115.569999) + (xy 132.4805 115.569999) (xy 132.4805 108.838999) (xy 145.49475 108.838999) (xy 145.49475 108.839) + (xy 145.51367 108.982708) (xy 145.513671 108.982712) (xy 145.569137 109.116622) (xy 145.569138 109.116624) + (xy 145.569139 109.116625) (xy 145.657379 109.231621) (xy 145.772375 109.319861) (xy 145.906291 109.37533) + (xy 146.03328 109.392048) (xy 146.049999 109.39425) (xy 146.05 109.39425) (xy 146.050001 109.39425) + (xy 146.064977 109.392278) (xy 146.193709 109.37533) (xy 146.327625 109.319861) (xy 146.442621 109.231621) + (xy 146.530861 109.116625) (xy 146.58633 108.982709) (xy 146.60525 108.839) (xy 146.58633 108.695291) + (xy 146.530861 108.561375) (xy 146.442621 108.446379) (xy 146.327625 108.358139) (xy 146.327624 108.358138) + (xy 146.327622 108.358137) (xy 146.193712 108.302671) (xy 146.19371 108.30267) (xy 146.193709 108.30267) + (xy 146.121854 108.29321) (xy 146.050001 108.28375) (xy 146.049999 108.28375) (xy 145.906291 108.30267) + (xy 145.906287 108.302671) (xy 145.772377 108.358137) (xy 145.657379 108.446379) (xy 145.569137 108.561377) + (xy 145.513671 108.695287) (xy 145.51367 108.695291) (xy 145.49475 108.838999) (xy 132.4805 108.838999) + (xy 132.4805 105.409999) (xy 140.41475 105.409999) (xy 140.41475 105.41) (xy 140.43367 105.553708) + (xy 140.433671 105.553712) (xy 140.489137 105.687622) (xy 140.489138 105.687624) (xy 140.489139 105.687625) + (xy 140.577379 105.802621) (xy 140.692375 105.890861) (xy 140.826291 105.94633) (xy 140.95328 105.963048) + (xy 140.969999 105.96525) (xy 140.97 105.96525) (xy 140.970001 105.96525) (xy 140.984977 105.963278) + (xy 141.113709 105.94633) (xy 141.247625 105.890861) (xy 141.362621 105.802621) (xy 141.450861 105.687625) + (xy 141.50633 105.553709) (xy 141.517746 105.466999) (xy 144.04075 105.466999) (xy 144.04075 105.467) + (xy 144.05967 105.610708) (xy 144.059671 105.610712) (xy 144.115137 105.744622) (xy 144.115138 105.744624) + (xy 144.115139 105.744625) (xy 144.203379 105.859621) (xy 144.318375 105.947861) (xy 144.452291 106.00333) + (xy 144.57928 106.020048) (xy 144.595999 106.02225) (xy 144.596 106.02225) (xy 144.596001 106.02225) + (xy 144.610977 106.020278) (xy 144.739709 106.00333) (xy 144.873625 105.947861) (xy 144.988621 105.859621) + (xy 145.076861 105.744625) (xy 145.13233 105.610709) (xy 145.15125 105.467) (xy 145.13233 105.323291) + (xy 145.076861 105.189375) (xy 144.988621 105.074379) (xy 144.873625 104.986139) (xy 144.873624 104.986138) + (xy 144.873622 104.986137) (xy 144.739712 104.930671) (xy 144.73971 104.93067) (xy 144.739709 104.93067) + (xy 144.667854 104.92121) (xy 144.596001 104.91175) (xy 144.595999 104.91175) (xy 144.452291 104.93067) + (xy 144.452287 104.930671) (xy 144.318377 104.986137) (xy 144.203379 105.074379) (xy 144.115137 105.189377) + (xy 144.059671 105.323287) (xy 144.05967 105.323291) (xy 144.04075 105.466999) (xy 141.517746 105.466999) + (xy 141.52525 105.41) (xy 141.50633 105.266291) (xy 141.450861 105.132375) (xy 141.362621 105.017379) + (xy 141.247625 104.929139) (xy 141.247624 104.929138) (xy 141.247622 104.929137) (xy 141.113712 104.873671) + (xy 141.11371 104.87367) (xy 141.113709 104.87367) (xy 141.041854 104.86421) (xy 140.970001 104.85475) + (xy 140.969999 104.85475) (xy 140.826291 104.87367) (xy 140.826287 104.873671) (xy 140.692377 104.929137) + (xy 140.577379 105.017379) (xy 140.489137 105.132377) (xy 140.433671 105.266287) (xy 140.43367 105.266291) + (xy 140.41475 105.409999) (xy 132.4805 105.409999) (xy 132.4805 101.305451) (xy 132.500185 101.238412) + (xy 132.552989 101.192657) (xy 132.622147 101.182713) (xy 132.685703 101.211738) (xy 132.702874 101.229962) + (xy 132.703379 101.230621) (xy 132.818375 101.318861) (xy 132.952291 101.37433) (xy 133.07928 101.391048) + (xy 133.095999 101.39325) (xy 133.096 101.39325) (xy 133.096001 101.39325) (xy 133.110977 101.391278) + (xy 133.239709 101.37433) (xy 133.373625 101.318861) (xy 133.488621 101.230621) (xy 133.576861 101.115625) + (xy 133.63233 100.981709) (xy 133.65125 100.838) (xy 133.63233 100.694291) (xy 133.576861 100.560375) + (xy 133.488621 100.445379) (xy 133.373625 100.357139) (xy 133.373624 100.357138) (xy 133.373622 100.357137) + (xy 133.239712 100.301671) (xy 133.23971 100.30167) (xy 133.239709 100.30167) (xy 133.160244 100.291208) + (xy 133.096001 100.28275) (xy 133.095999 100.28275) (xy 132.952291 100.30167) (xy 132.952287 100.301671) + (xy 132.818377 100.357137) (xy 132.703375 100.445382) (xy 132.702871 100.44604) (xy 132.702304 100.446453) + (xy 132.697632 100.451126) (xy 132.696903 100.450397) (xy 132.646441 100.48724) (xy 132.576695 100.49139) + (xy 132.515776 100.457174) (xy 132.483027 100.395455) (xy 132.4805 100.370548) (xy 132.4805 97.027996) + (xy 133.179435 97.027996) (xy 133.179435 97.028003) (xy 133.19963 97.207249) (xy 133.199631 97.207254) + (xy 133.259211 97.377523) (xy 133.338593 97.503858) (xy 133.355184 97.530262) (xy 133.482738 97.657816) + (xy 133.635478 97.753789) (xy 133.805745 97.813368) (xy 133.80575 97.813369) (xy 133.984996 97.833565) + (xy 133.985 97.833565) (xy 133.985004 97.833565) (xy 134.164249 97.813369) (xy 134.164252 97.813368) + (xy 134.164255 97.813368) (xy 134.334522 97.753789) (xy 134.487262 97.657816) (xy 134.614816 97.530262) + (xy 134.673713 97.436528) (xy 134.726048 97.390237) (xy 134.778707 97.3785) (xy 135.185456 97.3785) + (xy 135.252495 97.398185) (xy 135.273137 97.414819) (xy 135.884181 98.025863) (xy 135.917666 98.087186) + (xy 135.9205 98.113544) (xy 135.9205 100.122144) (xy 135.94092 100.198354) (xy 135.944386 100.211288) + (xy 135.944387 100.211291) (xy 135.990527 100.291208) (xy 135.990529 100.291211) (xy 135.99053 100.291212) + (xy 136.563787 100.864469) (xy 136.57928 100.873414) (xy 136.579298 100.873424) (xy 136.579302 100.873428) + (xy 136.579303 100.873427) (xy 136.635602 100.905932) (xy 136.643712 100.910614) (xy 136.732856 100.9345) + (xy 137.054908 100.9345) (xy 137.121947 100.954185) (xy 137.141412 100.972086) (xy 137.142628 100.970871) + (xy 137.148372 100.976615) (xy 137.148377 100.976619) (xy 137.148379 100.976621) (xy 137.263375 101.064861) + (xy 137.263376 101.064861) (xy 137.263377 101.064862) (xy 137.307145 101.082991) (xy 137.397291 101.12033) + (xy 137.52428 101.137048) (xy 137.540999 101.13925) (xy 137.541 101.13925) (xy 137.541001 101.13925) + (xy 137.555977 101.137278) (xy 137.684709 101.12033) (xy 137.818625 101.064861) (xy 137.933621 100.976621) + (xy 138.021861 100.861625) (xy 138.07733 100.727709) (xy 138.09625 100.584) (xy 138.07733 100.440291) + (xy 138.021861 100.306375) (xy 137.933621 100.191379) (xy 137.818625 100.103139) (xy 137.818624 100.103138) + (xy 137.818622 100.103137) (xy 137.684712 100.047671) (xy 137.68471 100.04767) (xy 137.684709 100.04767) + (xy 137.612854 100.03821) (xy 137.541001 100.02875) (xy 137.540999 100.02875) (xy 137.397291 100.04767) + (xy 137.397287 100.047671) (xy 137.263377 100.103137) (xy 137.148372 100.191384) (xy 137.142628 100.197129) + (xy 137.140111 100.194612) (xy 137.096817 100.226203) (xy 137.054908 100.2335) (xy 136.975544 100.2335) + (xy 136.908505 100.213815) (xy 136.887863 100.197181) (xy 136.657819 99.967137) (xy 136.624334 99.905814) + (xy 136.6215 99.879456) (xy 136.6215 98.446554) (xy 136.641185 98.379515) (xy 136.693989 98.33376) + (xy 136.763147 98.323816) (xy 136.826703 98.352841) (xy 136.829142 98.355013) (xy 140.728329 101.919984) + (xy 140.748157 101.936626) (xy 140.764789 101.949425) (xy 140.764792 101.949427) (xy 140.764779 101.949442) + (xy 140.764847 101.949485) (xy 140.764891 101.949503) (xy 140.764955 101.949553) (xy 140.865031 102.000439) + (xy 140.93207 102.020124) (xy 141.018142 102.0325) (xy 145.882617 102.0325) (xy 145.949656 102.052185) + (xy 145.995411 102.104989) (xy 146.005555 102.140312) (xy 146.02167 102.262711) (xy 146.021671 102.262715) + (xy 146.077137 102.396625) (xy 146.077138 102.396627) (xy 146.077139 102.396628) (xy 146.165379 102.511624) + (xy 146.280375 102.599864) (xy 146.414291 102.655333) (xy 146.558 102.674253) (xy 146.566129 102.674253) + (xy 146.566129 102.678087) (xy 146.617346 102.685284) (xy 146.653878 102.710562) (xy 147.568181 103.624865) + (xy 147.601666 103.686188) (xy 147.6045 103.712546) (xy 147.6045 111.900645) (xy 147.584815 111.967684) + (xy 147.532011 112.013439) (xy 147.525294 112.016271) (xy 147.462374 112.040646) (xy 147.462359 112.040653) + (xy 147.28896 112.148017) (xy 147.288958 112.148019) (xy 147.138237 112.285418) (xy 147.015327 112.448178) + (xy 146.924422 112.630739) (xy 146.924417 112.630752) (xy 146.868602 112.826917) (xy 146.849785 113.029999) + (xy 146.849785 113.03) (xy 146.868602 113.233082) (xy 146.924417 113.429247) (xy 146.924422 113.42926) + (xy 147.015327 113.611821) (xy 147.138237 113.774581) (xy 147.288958 113.91198) (xy 147.28896 113.911982) + (xy 147.364807 113.958944) (xy 147.462363 114.019348) (xy 147.652544 114.093024) (xy 147.853024 114.1305) + (xy 147.853026 114.1305) (xy 148.056974 114.1305) (xy 148.056976 114.1305) (xy 148.257456 114.093024) + (xy 148.447637 114.019348) (xy 148.515222 113.9775) (xy 148.582582 113.958944) (xy 148.649282 113.979752) + (xy 148.694143 114.033317) (xy 148.7045 114.082927) (xy 148.7045 114.273455) (xy 148.684815 114.340494) + (xy 148.668181 114.361137) (xy 148.505511 114.523806) (xy 148.444188 114.55729) (xy 148.374496 114.552306) + (xy 148.373037 114.551751) (xy 148.257461 114.506977) (xy 148.257456 114.506976) (xy 148.056976 114.4695) + (xy 147.853024 114.4695) (xy 147.652544 114.506976) (xy 147.652541 114.506976) (xy 147.652541 114.506977) + (xy 147.462364 114.580651) (xy 147.462363 114.580652) (xy 147.446141 114.590696) (xy 147.28896 114.688017) + (xy 147.288958 114.688019) (xy 147.138237 114.825418) (xy 147.015327 114.988178) (xy 146.924422 115.170739) + (xy 146.924417 115.170752) (xy 146.868602 115.366917) (xy 146.849785 115.569999) (xy 146.849785 115.57) + (xy 146.868602 115.773082) (xy 146.924417 115.969247) (xy 146.924422 115.96926) (xy 147.015327 116.151821) + (xy 147.138237 116.314581) (xy 147.288958 116.45198) (xy 147.28896 116.451982) (xy 147.388141 116.513392) + (xy 147.462363 116.559348) (xy 147.652544 116.633024) (xy 147.853024 116.6705) (xy 147.853026 116.6705) + (xy 148.056974 116.6705) (xy 148.056976 116.6705) (xy 148.257456 116.633024) (xy 148.447637 116.559348) + (xy 148.621041 116.451981) (xy 148.771764 116.314579) (xy 148.894673 116.151821) (xy 148.985582 115.96925) + (xy 149.041397 115.773083) (xy 149.060215 115.57) (xy 149.054115 115.504174) (xy 149.041397 115.366917) + (xy 149.011828 115.262993) (xy 148.985582 115.17075) (xy 148.980256 115.160055) (xy 148.967996 115.091272) + (xy 148.994869 115.026777) (xy 149.003556 115.017124) (xy 149.33547 114.685212) (xy 149.381614 114.605288) + (xy 149.38811 114.581045) (xy 149.388215 114.580654) (xy 149.388215 114.580653) (xy 149.395959 114.551751) + (xy 149.4055 114.516144) (xy 149.4055 112.528221) (xy 149.381614 112.439077) (xy 149.33547 112.359153) + (xy 149.121195 112.144878) (xy 149.08771 112.083555) (xy 149.092694 112.013863) (xy 149.134566 111.95793) + (xy 149.20003 111.933513) (xy 149.224797 111.93425) (xy 149.225 111.93425) (xy 149.225001 111.93425) + (xy 149.239977 111.932278) (xy 149.368709 111.91533) (xy 149.502625 111.859861) (xy 149.617621 111.771621) + (xy 149.705861 111.656625) (xy 149.76133 111.522709) (xy 149.78025 111.379) (xy 149.76133 111.235291) + (xy 149.705861 111.101375) (xy 149.617621 110.986379) (xy 149.502625 110.898139) (xy 149.502624 110.898138) + (xy 149.502622 110.898137) (xy 149.368712 110.842671) (xy 149.36871 110.84267) (xy 149.368709 110.84267) + (xy 149.296854 110.83321) (xy 149.225001 110.82375) (xy 149.224999 110.82375) (xy 149.081291 110.84267) + (xy 149.081287 110.842671) (xy 148.947376 110.898138) (xy 148.947374 110.898139) (xy 148.885986 110.945244) + (xy 148.820817 110.970438) (xy 148.752372 110.956399) (xy 148.702382 110.907585) (xy 148.6865 110.846868) + (xy 148.6865 102.000858) (xy 148.6865 102.000856) (xy 148.662614 101.911712) (xy 148.660615 101.90825) + (xy 148.656312 101.900796) (xy 148.65631 101.900794) (xy 148.637229 101.867744) (xy 148.61647 101.831788) + (xy 147.14956 100.364878) (xy 147.116075 100.303555) (xy 147.114983 100.277129) (xy 147.11325 100.277129) + (xy 147.11325 100.269) (xy 147.108576 100.2335) (xy 147.099426 100.164) (xy 148.289394 100.164) + (xy 148.308138 100.306375) (xy 148.308314 100.307708) (xy 148.308315 100.307712) (xy 148.363781 100.441622) + (xy 148.363782 100.441624) (xy 148.363783 100.441625) (xy 148.452023 100.556621) (xy 148.567019 100.644861) + (xy 148.700935 100.70033) (xy 148.827924 100.717048) (xy 148.844643 100.71925) (xy 148.844644 100.71925) + (xy 148.844645 100.71925) (xy 148.859621 100.717278) (xy 148.988353 100.70033) (xy 149.122269 100.644861) + (xy 149.237265 100.556621) (xy 149.325505 100.441625) (xy 149.380974 100.307709) (xy 149.399894 100.164) + (xy 149.380974 100.020291) (xy 149.325505 99.886375) (xy 149.237265 99.771379) (xy 149.122269 99.683139) + (xy 149.122268 99.683138) (xy 149.122266 99.683137) (xy 148.988356 99.627671) (xy 148.988354 99.62767) + (xy 148.988353 99.62767) (xy 148.916498 99.61821) (xy 148.844645 99.60875) (xy 148.844643 99.60875) + (xy 148.700935 99.62767) (xy 148.700931 99.627671) (xy 148.567021 99.683137) (xy 148.452023 99.771379) + (xy 148.363781 99.886377) (xy 148.308315 100.020287) (xy 148.308314 100.020291) (xy 148.294905 100.122144) + (xy 148.289394 100.164) (xy 147.099426 100.164) (xy 147.09433 100.125291) (xy 147.038861 99.991375) + (xy 146.950621 99.876379) (xy 146.835625 99.788139) (xy 146.835624 99.788138) (xy 146.835622 99.788137) + (xy 146.701712 99.732671) (xy 146.70171 99.73267) (xy 146.701709 99.73267) (xy 146.629854 99.72321) + (xy 146.558001 99.71375) (xy 146.557999 99.71375) (xy 146.414291 99.73267) (xy 146.414287 99.732671) + (xy 146.280377 99.788137) (xy 146.165379 99.876379) (xy 146.077137 99.991377) (xy 146.021671 100.125287) + (xy 146.02167 100.125291) (xy 146.00275 100.268999) (xy 146.00275 100.269) (xy 146.018985 100.392314) + (xy 146.00822 100.46135) (xy 145.96184 100.513606) (xy 145.896046 100.5325) (xy 143.081413 100.5325) + (xy 143.036165 100.535872) (xy 143.036152 100.535873) (xy 143.036151 100.535874) (xy 142.999803 100.541321) + (xy 142.999778 100.541325) (xy 142.999775 100.541326) (xy 142.980354 100.544881) (xy 142.980339 100.544886) + (xy 142.876871 100.588425) (xy 142.876864 100.588429) (xy 142.818537 100.626902) (xy 142.770886 100.665744) + (xy 142.707376 100.758316) (xy 142.707374 100.758319) (xy 142.679105 100.82222) (xy 142.655598 100.905948) + (xy 142.655461 100.906617) (xy 142.654863 100.908564) (xy 142.654404 100.910202) (xy 142.654364 100.910191) + (xy 142.648555 100.929135) (xy 142.630929 100.971688) (xy 142.614743 100.999722) (xy 142.587332 101.035444) + (xy 142.564445 101.058332) (xy 142.528722 101.085744) (xy 142.500686 101.10193) (xy 142.459093 101.119158) + (xy 142.427827 101.127536) (xy 142.38318 101.133414) (xy 142.350811 101.133414) (xy 142.328499 101.130477) + (xy 142.328496 101.130475) (xy 142.328492 101.130476) (xy 142.306168 101.127536) (xy 142.274907 101.119159) + (xy 142.213869 101.093876) (xy 142.183027 101.082995) (xy 142.183016 101.082992) (xy 142.174665 101.08054) + (xy 142.157577 101.075522) (xy 142.150797 101.073616) (xy 142.038867 101.0649) (xy 142.038863 101.0649) + (xy 142.038862 101.0649) (xy 142.021495 101.066767) (xy 141.969392 101.072368) (xy 141.885147 101.09387) + (xy 141.885133 101.093875) (xy 141.824091 101.119159) (xy 141.792826 101.127536) (xy 141.74818 101.133414) + (xy 141.715811 101.133414) (xy 141.693499 101.130477) (xy 141.693496 101.130475) (xy 141.693492 101.130476) + (xy 141.671168 101.127536) (xy 141.639907 101.119159) (xy 141.598312 101.10193) (xy 141.570276 101.085744) + (xy 141.534553 101.058332) (xy 141.511666 101.035444) (xy 141.484255 100.999722) (xy 141.468069 100.971688) + (xy 141.450841 100.930096) (xy 141.442463 100.89883) (xy 141.439116 100.873414) (xy 141.435595 100.852386) + (xy 141.435592 100.852368) (xy 141.432136 100.835391) (xy 141.392205 100.735003) (xy 141.355308 100.67567) + (xy 141.299347 100.609115) (xy 141.276178 100.588428) (xy 137.887914 97.563191) (xy 137.851017 97.503858) + (xy 137.8465 97.470695) (xy 137.8465 97.1296) (xy 146.84095 97.1296) (xy 146.849322 97.193194) (xy 146.85987 97.273308) + (xy 146.859871 97.273312) (xy 146.915337 97.407222) (xy 146.915338 97.407224) (xy 146.915339 97.407225) + (xy 147.003579 97.522221) (xy 147.118575 97.610461) (xy 147.252491 97.66593) (xy 147.37948 97.682648) + (xy 147.396199 97.68485) (xy 147.3962 97.68485) (xy 147.396201 97.68485) (xy 147.411177 97.682878) + (xy 147.539909 97.66593) (xy 147.673825 97.610461) (xy 147.788821 97.522221) (xy 147.877061 97.407225) + (xy 147.93253 97.273309) (xy 147.95145 97.1296) (xy 147.93253 96.985891) (xy 147.877061 96.851975) + (xy 147.788821 96.736979) (xy 147.673825 96.648739) (xy 147.673824 96.648738) (xy 147.673822 96.648737) + (xy 147.539912 96.593271) (xy 147.53991 96.59327) (xy 147.539909 96.59327) (xy 147.431915 96.579052) + (xy 147.396201 96.57435) (xy 147.396199 96.57435) (xy 147.252491 96.59327) (xy 147.252487 96.593271) + (xy 147.118577 96.648737) (xy 147.003579 96.736979) (xy 146.915337 96.851977) (xy 146.859871 96.985887) + (xy 146.85987 96.985891) (xy 146.84095 97.1296) (xy 137.8465 97.1296) (xy 137.8465 96.64401) (xy 137.8465 96.644) + (xy 137.839518 96.579059) (xy 137.828312 96.527548) (xy 137.817354 96.489342) (xy 137.760675 96.392429) + (xy 137.71492 96.339625) (xy 137.714918 96.339623) (xy 137.714908 96.339612) (xy 137.670192 96.297451) + (xy 137.670189 96.297449) (xy 137.670187 96.297447) (xy 137.570111 96.246561) (xy 137.57011 96.24656) + (xy 137.570109 96.24656) (xy 137.503078 96.226877) (xy 137.503072 96.226876) (xy 137.417 96.2145) + (xy 136.649 96.2145) (xy 136.648991 96.2145) (xy 136.64899 96.214501) (xy 136.584064 96.221481) + (xy 136.584052 96.221483) (xy 136.532546 96.232688) (xy 136.494345 96.243644) (xy 136.494341 96.243646) + (xy 136.397431 96.300323) (xy 136.397428 96.300325) (xy 136.344623 96.346081) (xy 136.344612 96.346091) + (xy 136.302451 96.390807) (xy 136.302445 96.390816) (xy 136.25156 96.49089) (xy 136.231877 96.557921) + (xy 136.231876 96.557928) (xy 136.219502 96.64399) (xy 136.2195 96.644002) (xy 136.2195 97.070456) + (xy 136.199815 97.137495) (xy 136.147011 97.18325) (xy 136.077853 97.193194) (xy 136.014297 97.164169) + (xy 136.007819 97.158137) (xy 135.597213 96.747531) (xy 135.597208 96.747527) (xy 135.51729 96.701387) + (xy 135.517289 96.701386) (xy 135.517288 96.701386) (xy 135.428144 96.6775) (xy 135.428143 96.6775) + (xy 134.778707 96.6775) (xy 134.711668 96.657815) (xy 134.673713 96.619472) (xy 134.614815 96.525737) + (xy 134.487262 96.398184) (xy 134.334523 96.302211) (xy 134.164254 96.242631) (xy 134.164249 96.24263) + (xy 133.985004 96.222435) (xy 133.984996 96.222435) (xy 133.80575 96.24263) (xy 133.805745 96.242631) + (xy 133.635476 96.302211) (xy 133.482737 96.398184) (xy 133.355184 96.525737) (xy 133.259211 96.678476) + (xy 133.199631 96.848745) (xy 133.19963 96.84875) (xy 133.179435 97.027996) (xy 132.4805 97.027996) + (xy 132.4805 92.455999) (xy 136.09675 92.455999) (xy 136.09675 92.456) (xy 136.11567 92.599708) + (xy 136.115671 92.599712) (xy 136.171137 92.733622) (xy 136.171138 92.733624) (xy 136.171139 92.733625) + (xy 136.259379 92.848621) (xy 136.374375 92.936861) (xy 136.508291 92.99233) (xy 136.63528 93.009048) + (xy 136.651999 93.01125) (xy 136.652 93.01125) (xy 136.652001 93.01125) (xy 136.666977 93.009278) + (xy 136.795709 92.99233) (xy 136.922049 92.939998) (xy 136.991517 92.93253) (xy 137.016945 92.939996) + (xy 137.143291 92.99233) (xy 137.27028 93.009048) (xy 137.286999 93.01125) (xy 137.287 93.01125) + (xy 137.287001 93.01125) (xy 137.301977 93.009278) (xy 137.430709 92.99233) (xy 137.564625 92.936861) + (xy 137.679621 92.848621) (xy 137.767861 92.733625) (xy 137.82333 92.599709) (xy 137.84225 92.456) + (xy 137.82333 92.312291) (xy 137.767861 92.178375) (xy 137.679621 92.063379) (xy 137.564625 91.975139) + (xy 137.564624 91.975138) (xy 137.564622 91.975137) (xy 137.469074 91.935561) (xy 137.41467 91.891721) + (xy 137.392605 91.825427) (xy 137.409884 91.757727) (xy 137.461021 91.710116) (xy 137.469074 91.706439) + (xy 137.489852 91.697832) (xy 137.564625 91.666861) (xy 137.679621 91.578621) (xy 137.767861 91.463625) + (xy 137.82333 91.329709) (xy 137.84225 91.186) (xy 137.82333 91.042291) (xy 137.767861 90.908375) + (xy 137.679621 90.793379) (xy 137.564625 90.705139) (xy 137.564624 90.705138) (xy 137.564622 90.705137) + (xy 137.430712 90.649671) (xy 137.43071 90.64967) (xy 137.430709 90.64967) (xy 137.358854 90.64021) + (xy 137.287001 90.63075) (xy 137.286999 90.63075) (xy 137.143291 90.64967) (xy 137.143287 90.649671) + (xy 137.009377 90.705137) (xy 136.894379 90.793379) (xy 136.806137 90.908377) (xy 136.750671 91.042287) + (xy 136.75067 91.042291) (xy 136.73175 91.185999) (xy 136.73175 91.186) (xy 136.75067 91.329708) + (xy 136.750671 91.329712) (xy 136.806137 91.463622) (xy 136.806138 91.463624) (xy 136.806139 91.463625) + (xy 136.894379 91.578621) (xy 137.009375 91.666861) (xy 137.041385 91.68012) (xy 137.104925 91.706439) + (xy 137.159329 91.75028) (xy 137.181394 91.816574) (xy 137.164115 91.884273) (xy 137.112978 91.931884) + (xy 137.104925 91.935561) (xy 137.016952 91.972) (xy 136.947483 91.979469) (xy 136.922048 91.972) + (xy 136.795712 91.919671) (xy 136.79571 91.91967) (xy 136.795709 91.91967) (xy 136.723854 91.91021) + (xy 136.652001 91.90075) (xy 136.651999 91.90075) (xy 136.508291 91.91967) (xy 136.508287 91.919671) + (xy 136.374377 91.975137) (xy 136.259379 92.063379) (xy 136.171137 92.178377) (xy 136.115671 92.312287) + (xy 136.11567 92.312291) (xy 136.09675 92.455999) (xy 132.4805 92.455999) (xy 132.4805 91.185999) + (xy 133.55675 91.185999) (xy 133.55675 91.186) (xy 133.57567 91.329708) (xy 133.575671 91.329712) + (xy 133.631137 91.463622) (xy 133.631138 91.463624) (xy 133.631139 91.463625) (xy 133.719379 91.578621) + (xy 133.834375 91.666861) (xy 133.968291 91.72233) (xy 134.09528 91.739048) (xy 134.111999 91.74125) + (xy 134.112 91.74125) (xy 134.112001 91.74125) (xy 134.126977 91.739278) (xy 134.255709 91.72233) + (xy 134.389625 91.666861) (xy 134.504621 91.578621) (xy 134.592861 91.463625) (xy 134.64833 91.329709) + (xy 134.66725 91.186) (xy 134.64833 91.042291) (xy 134.592861 90.908375) (xy 134.504621 90.793379) + (xy 134.389625 90.705139) (xy 134.389624 90.705138) (xy 134.389622 90.705137) (xy 134.255712 90.649671) + (xy 134.25571 90.64967) (xy 134.255709 90.64967) (xy 134.183854 90.64021) (xy 134.112001 90.63075) + (xy 134.111999 90.63075) (xy 133.968291 90.64967) (xy 133.968287 90.649671) (xy 133.834377 90.705137) + (xy 133.719379 90.793379) (xy 133.631137 90.908377) (xy 133.575671 91.042287) (xy 133.57567 91.042291) + (xy 133.55675 91.185999) (xy 132.4805 91.185999) (xy 132.4805 88.391999) (xy 136.47775 88.391999) + (xy 136.47775 88.392) (xy 136.49667 88.535708) (xy 136.496671 88.535712) (xy 136.552138 88.669623) + (xy 136.552139 88.669625) (xy 136.640378 88.78462) (xy 136.648359 88.790744) (xy 136.689564 88.84717) + (xy 136.692648 88.857018) (xy 136.706386 88.908287) (xy 136.706387 88.90829) (xy 136.752527 88.988208) + (xy 136.752531 88.988213) (xy 142.41044 94.646122) (xy 142.443925 94.707445) (xy 142.445067 94.733873) + (xy 142.44675 94.733873) (xy 142.44675 94.742) (xy 142.46567 94.885708) (xy 142.465671 94.885712) + (xy 142.521137 95.019622) (xy 142.521138 95.019624) (xy 142.521139 95.019625) (xy 142.609379 95.134621) + (xy 142.724375 95.222861) (xy 142.858291 95.27833) (xy 142.98528 95.295048) (xy 143.001999 95.29725) + (xy 143.002 95.29725) (xy 143.002001 95.29725) (xy 143.061314 95.289441) (xy 143.13035 95.300206) + (xy 143.182606 95.346586) (xy 143.2015 95.41238) (xy 143.2015 95.641818) (xy 143.181815 95.708857) + (xy 143.129011 95.754612) (xy 143.124958 95.756377) (xy 143.123954 95.756792) (xy 143.008956 95.845034) + (xy 142.920714 95.960032) (xy 142.865248 96.093942) (xy 142.865247 96.093946) (xy 142.846327 96.237654) + (xy 142.846327 96.237655) (xy 142.865247 96.381363) (xy 142.865248 96.381367) (xy 142.920714 96.515277) + (xy 142.920715 96.515279) (xy 142.920716 96.51528) (xy 143.008956 96.630276) (xy 143.123952 96.718516) + (xy 143.257868 96.773985) (xy 143.384857 96.790703) (xy 143.401576 96.792905) (xy 143.401577 96.792905) + (xy 143.401578 96.792905) (xy 143.416554 96.790933) (xy 143.545286 96.773985) (xy 143.679202 96.718516) + (xy 143.794198 96.630276) (xy 143.882438 96.51528) (xy 143.937907 96.381364) (xy 143.956827 96.237655) + (xy 143.937907 96.093946) (xy 143.911937 96.031248) (xy 143.9025 95.9838) (xy 143.9025 94.614999) + (xy 146.76475 94.614999) (xy 146.76475 94.615) (xy 146.78367 94.758708) (xy 146.783671 94.758712) + (xy 146.839137 94.892622) (xy 146.839138 94.892624) (xy 146.839139 94.892625) (xy 146.927379 95.007621) + (xy 147.042375 95.095861) (xy 147.176291 95.15133) (xy 147.30328 95.168048) (xy 147.319999 95.17025) + (xy 147.32 95.17025) (xy 147.320001 95.17025) (xy 147.334977 95.168278) (xy 147.463709 95.15133) + (xy 147.597625 95.095861) (xy 147.712621 95.007621) (xy 147.800861 94.892625) (xy 147.85633 94.758709) + (xy 147.87525 94.615) (xy 147.85633 94.471291) (xy 147.800861 94.337375) (xy 147.712621 94.222379) + (xy 147.597625 94.134139) (xy 147.597624 94.134138) (xy 147.597622 94.134137) (xy 147.463712 94.078671) + (xy 147.46371 94.07867) (xy 147.463709 94.07867) (xy 147.391854 94.06921) (xy 147.320001 94.05975) + (xy 147.319999 94.05975) (xy 147.176291 94.07867) (xy 147.176287 94.078671) (xy 147.042377 94.134137) + (xy 146.927379 94.222379) (xy 146.839137 94.337377) (xy 146.783671 94.471287) (xy 146.78367 94.471291) + (xy 146.76475 94.614999) (xy 143.9025 94.614999) (xy 143.9025 94.102858) (xy 143.9025 94.102856) + (xy 143.878614 94.013712) (xy 143.832469 93.933787) (xy 142.862681 92.963999) (xy 148.66975 92.963999) + (xy 148.66975 92.964) (xy 148.68867 93.107708) (xy 148.688671 93.107712) (xy 148.744137 93.241622) + (xy 148.744138 93.241624) (xy 148.744139 93.241625) (xy 148.832379 93.356621) (xy 148.947375 93.444861) + (xy 149.081291 93.50033) (xy 149.20828 93.517048) (xy 149.224999 93.51925) (xy 149.225 93.51925) + (xy 149.225001 93.51925) (xy 149.239977 93.517278) (xy 149.368709 93.50033) (xy 149.502625 93.444861) + (xy 149.617621 93.356621) (xy 149.705861 93.241625) (xy 149.76133 93.107709) (xy 149.78025 92.964) + (xy 149.76133 92.820291) (xy 149.705861 92.686375) (xy 149.617621 92.571379) (xy 149.502625 92.483139) + (xy 149.502624 92.483138) (xy 149.502622 92.483137) (xy 149.368712 92.427671) (xy 149.36871 92.42767) + (xy 149.368709 92.42767) (xy 149.296854 92.41821) (xy 149.225001 92.40875) (xy 149.224999 92.40875) + (xy 149.081291 92.42767) (xy 149.081287 92.427671) (xy 148.947377 92.483137) (xy 148.832379 92.571379) + (xy 148.744137 92.686377) (xy 148.688671 92.820287) (xy 148.68867 92.820291) (xy 148.66975 92.963999) + (xy 142.862681 92.963999) (xy 140.066681 90.167999) (xy 143.340162 90.167999) (xy 143.340162 90.168) + (xy 143.359082 90.311708) (xy 143.359083 90.311712) (xy 143.414549 90.445622) (xy 143.41455 90.445624) + (xy 143.414551 90.445625) (xy 143.502791 90.560621) (xy 143.617787 90.648861) (xy 143.617788 90.648861) + (xy 143.617789 90.648862) (xy 143.662425 90.66735) (xy 143.751703 90.70433) (xy 143.878692 90.721048) + (xy 143.895411 90.72325) (xy 143.895412 90.72325) (xy 143.895413 90.72325) (xy 143.910389 90.721278) + (xy 144.039121 90.70433) (xy 144.173037 90.648861) (xy 144.288033 90.560621) (xy 144.376273 90.445625) + (xy 144.431742 90.311709) (xy 144.450662 90.168) (xy 144.431742 90.024291) (xy 144.376273 89.890375) + (xy 144.288033 89.775379) (xy 144.173037 89.687139) (xy 144.173036 89.687138) (xy 144.173034 89.687137) + (xy 144.039124 89.631671) (xy 144.039122 89.63167) (xy 144.039121 89.63167) (xy 143.967266 89.62221) + (xy 143.895413 89.61275) (xy 143.895411 89.61275) (xy 143.751703 89.63167) (xy 143.751699 89.631671) + (xy 143.617789 89.687137) (xy 143.502791 89.775379) (xy 143.414549 89.890377) (xy 143.359083 90.024287) + (xy 143.359082 90.024291) (xy 143.340162 90.167999) (xy 140.066681 90.167999) (xy 138.38656 88.487878) + (xy 138.353075 88.426555) (xy 138.351983 88.400129) (xy 138.35025 88.400129) (xy 138.35025 88.392) + (xy 138.35025 88.391999) (xy 138.33133 88.248291) (xy 138.275861 88.114375) (xy 138.187621 87.999379) + (xy 138.072625 87.911139) (xy 138.072624 87.911138) (xy 138.072622 87.911137) (xy 137.938712 87.855671) + (xy 137.93871 87.85567) (xy 137.938709 87.85567) (xy 137.866854 87.84621) (xy 137.795001 87.83675) + (xy 137.794999 87.83675) (xy 137.651291 87.85567) (xy 137.651287 87.855671) (xy 137.517375 87.911138) + (xy 137.489485 87.932539) (xy 137.424316 87.957732) (xy 137.355871 87.943693) (xy 137.338515 87.932539) + (xy 137.323379 87.920925) (xy 137.310625 87.911139) (xy 137.310623 87.911138) (xy 137.310625 87.911138) + (xy 137.176712 87.855671) (xy 137.17671 87.85567) (xy 137.176709 87.85567) (xy 137.104854 87.84621) + (xy 137.033001 87.83675) (xy 137.032999 87.83675) (xy 136.889291 87.85567) (xy 136.889287 87.855671) + (xy 136.755377 87.911137) (xy 136.640379 87.999379) (xy 136.552137 88.114377) (xy 136.496671 88.248287) + (xy 136.49667 88.248291) (xy 136.47775 88.391999) (xy 132.4805 88.391999) (xy 132.4805 79.582856) + (xy 134.1425 79.582856) (xy 134.1425 83.612146) (xy 134.149592 83.638611) (xy 134.149593 83.638615) + (xy 134.166384 83.701285) (xy 134.166385 83.701286) (xy 134.212527 83.781208) (xy 134.212531 83.781213) + (xy 136.556488 86.12517) (xy 136.589973 86.186493) (xy 136.584989 86.256185) (xy 136.567183 86.288337) + (xy 136.549505 86.311374) (xy 136.494038 86.445287) (xy 136.494037 86.445291) (xy 136.475117 86.589) + (xy 136.484913 86.66341) (xy 136.494037 86.732708) (xy 136.494038 86.732712) (xy 136.549504 86.866622) + (xy 136.549505 86.866623) (xy 136.549506 86.866625) (xy 136.637746 86.981621) (xy 136.752742 87.069861) + (xy 136.886658 87.12533) (xy 137.013647 87.142048) (xy 137.030366 87.14425) (xy 137.030367 87.14425) + (xy 137.030368 87.14425) (xy 137.045344 87.142278) (xy 137.174076 87.12533) (xy 137.307992 87.069861) + (xy 137.422988 86.981621) (xy 137.511228 86.866625) (xy 137.563728 86.739875) (xy 137.607566 86.685476) + (xy 137.673859 86.66341) (xy 137.741559 86.680688) (xy 137.765968 86.69965) (xy 137.935788 86.86947) + (xy 138.015712 86.915614) (xy 138.095126 86.936893) (xy 138.154786 86.973257) (xy 138.161409 86.981182) + (xy 138.161743 86.981618) (xy 138.161744 86.981619) (xy 138.161746 86.981621) (xy 138.276742 87.069861) + (xy 138.410658 87.12533) (xy 138.537647 87.142048) (xy 138.554366 87.14425) (xy 138.554367 87.14425) + (xy 138.554368 87.14425) (xy 138.569344 87.142278) (xy 138.698076 87.12533) (xy 138.831992 87.069861) + (xy 138.946988 86.981621) (xy 139.034173 86.868) (xy 142.19275 86.868) (xy 142.199018 86.915613) + (xy 142.21167 87.011708) (xy 142.211671 87.011712) (xy 142.267137 87.145622) (xy 142.267138 87.145624) + (xy 142.267139 87.145625) (xy 142.355379 87.260621) (xy 142.470375 87.348861) (xy 142.604291 87.40433) + (xy 142.73128 87.421048) (xy 142.747999 87.42325) (xy 142.748 87.42325) (xy 142.748001 87.42325) + (xy 142.762977 87.421278) (xy 142.891709 87.40433) (xy 143.025625 87.348861) (xy 143.053514 87.32746) + (xy 143.118683 87.302267) (xy 143.187127 87.316305) (xy 143.204481 87.327457) (xy 143.232375 87.348861) + (xy 143.366291 87.40433) (xy 143.49328 87.421048) (xy 143.509999 87.42325) (xy 143.51 87.42325) + (xy 143.510001 87.42325) (xy 143.524977 87.421278) (xy 143.653709 87.40433) (xy 143.787625 87.348861) + (xy 143.902621 87.260621) (xy 143.990861 87.145625) (xy 144.04633 87.011709) (xy 144.06525 86.868) + (xy 144.04633 86.724291) (xy 143.990861 86.590375) (xy 143.902621 86.475379) (xy 143.787625 86.387139) + (xy 143.787624 86.387138) (xy 143.787622 86.387137) (xy 143.653712 86.331671) (xy 143.65371 86.33167) + (xy 143.653709 86.33167) (xy 143.581854 86.32221) (xy 143.510001 86.31275) (xy 143.509999 86.31275) + (xy 143.366291 86.33167) (xy 143.366287 86.331671) (xy 143.232375 86.387138) (xy 143.204485 86.408539) + (xy 143.139316 86.433732) (xy 143.070871 86.419693) (xy 143.053515 86.408539) (xy 143.038379 86.396925) + (xy 143.025625 86.387139) (xy 143.025623 86.387138) (xy 143.025625 86.387138) (xy 142.891712 86.331671) + (xy 142.89171 86.33167) (xy 142.891709 86.33167) (xy 142.819854 86.32221) (xy 142.748001 86.31275) + (xy 142.747999 86.31275) (xy 142.604291 86.33167) (xy 142.604287 86.331671) (xy 142.470377 86.387137) + (xy 142.355379 86.475379) (xy 142.267137 86.590377) (xy 142.211671 86.724287) (xy 142.21167 86.724291) + (xy 142.19275 86.868) (xy 139.034173 86.868) (xy 139.035228 86.866625) (xy 139.090697 86.732709) + (xy 139.109617 86.589) (xy 139.090697 86.445291) (xy 139.035228 86.311375) (xy 138.946988 86.196379) + (xy 138.831992 86.108139) (xy 138.831991 86.108138) (xy 138.831989 86.108137) (xy 138.698079 86.052671) + (xy 138.698077 86.05267) (xy 138.698076 86.05267) (xy 138.626221 86.04321) (xy 138.554368 86.03375) + (xy 138.554366 86.03375) (xy 138.410658 86.05267) (xy 138.410654 86.052671) (xy 138.274855 86.10892) + (xy 138.205385 86.116389) (xy 138.142906 86.085113) (xy 138.139722 86.08204) (xy 135.260819 83.203137) + (xy 135.227334 83.141814) (xy 135.2245 83.115456) (xy 135.2245 82.569999) (xy 135.954357 82.569999) + (xy 135.954357 82.57) (xy 135.974884 82.791535) (xy 135.974885 82.791537) (xy 136.035769 83.005523) + (xy 136.035775 83.005538) (xy 136.134938 83.204683) (xy 136.134943 83.204691) (xy 136.26902 83.382238) + (xy 136.433437 83.532123) (xy 136.433439 83.532125) (xy 136.622595 83.649245) (xy 136.622596 83.649245) + (xy 136.622599 83.649247) (xy 136.83006 83.729618) (xy 137.048757 83.7705) (xy 137.048759 83.7705) + (xy 137.271241 83.7705) (xy 137.271243 83.7705) (xy 137.48994 83.729618) (xy 137.697401 83.649247) + (xy 137.886562 83.532124) (xy 138.050981 83.382236) (xy 138.185058 83.204689) (xy 138.284229 83.005528) + (xy 138.310734 82.912371) (xy 138.348013 82.853278) (xy 138.411323 82.823721) (xy 138.480562 82.833083) + (xy 138.533749 82.878393) (xy 138.549266 82.912372) (xy 138.575769 83.005523) (xy 138.575775 83.005538) + (xy 138.674938 83.204683) (xy 138.674943 83.204691) (xy 138.80902 83.382238) (xy 138.973437 83.532123) + (xy 138.973439 83.532125) (xy 139.162595 83.649245) (xy 139.162596 83.649245) (xy 139.162599 83.649247) + (xy 139.37006 83.729618) (xy 139.588757 83.7705) (xy 139.588759 83.7705) (xy 139.811241 83.7705) + (xy 139.811243 83.7705) (xy 140.02994 83.729618) (xy 140.237401 83.649247) (xy 140.426562 83.532124) + (xy 140.590981 83.382236) (xy 140.725058 83.204689) (xy 140.824229 83.005528) (xy 140.850734 82.912371) + (xy 140.888013 82.853278) (xy 140.951323 82.823721) (xy 141.020562 82.833083) (xy 141.073749 82.878393) + (xy 141.089266 82.912372) (xy 141.115769 83.005523) (xy 141.115775 83.005538) (xy 141.214938 83.204683) + (xy 141.214943 83.204691) (xy 141.34902 83.382238) (xy 141.513437 83.532123) (xy 141.513439 83.532125) + (xy 141.702595 83.649245) (xy 141.702596 83.649245) (xy 141.702599 83.649247) (xy 141.91006 83.729618) + (xy 142.128757 83.7705) (xy 142.128759 83.7705) (xy 142.351241 83.7705) (xy 142.351243 83.7705) + (xy 142.56994 83.729618) (xy 142.777401 83.649247) (xy 142.966562 83.532124) (xy 143.130981 83.382236) + (xy 143.265058 83.204689) (xy 143.364229 83.005528) (xy 143.390734 82.912371) (xy 143.428013 82.853278) + (xy 143.491323 82.823721) (xy 143.560562 82.833083) (xy 143.613749 82.878393) (xy 143.629266 82.912372) + (xy 143.655769 83.005523) (xy 143.655775 83.005538) (xy 143.754938 83.204683) (xy 143.754943 83.204691) + (xy 143.88902 83.382238) (xy 144.053437 83.532123) (xy 144.053439 83.532125) (xy 144.242595 83.649245) + (xy 144.242596 83.649245) (xy 144.242599 83.649247) (xy 144.45006 83.729618) (xy 144.668757 83.7705) + (xy 144.668759 83.7705) (xy 144.891241 83.7705) (xy 144.891243 83.7705) (xy 145.10994 83.729618) + (xy 145.317401 83.649247) (xy 145.506562 83.532124) (xy 145.670981 83.382236) (xy 145.805058 83.204689) + (xy 145.904229 83.005528) (xy 145.965115 82.791536) (xy 145.985643 82.57) (xy 145.965115 82.348464) + (xy 145.904229 82.134472) (xy 145.904224 82.134461) (xy 145.805061 81.935316) (xy 145.805056 81.935308) + (xy 145.670979 81.757761) (xy 145.506562 81.607876) (xy 145.50656 81.607874) (xy 145.317404 81.490754) + (xy 145.317398 81.490751) (xy 145.264159 81.470127) (xy 145.208758 81.427554) (xy 145.185167 81.361787) + (xy 145.200878 81.293707) (xy 145.250902 81.244928) (xy 145.308953 81.2305) (xy 147.024676 81.2305) + (xy 147.024677 81.230499) (xy 147.09774 81.215966) (xy 147.180601 81.160601) (xy 147.235966 81.07774) + (xy 147.2505 81.004674) (xy 147.2505 79.055326) (xy 147.2505 79.055323) (xy 147.250499 79.055321) + (xy 147.235967 78.982264) (xy 147.235966 78.98226) (xy 147.180601 78.899399) (xy 147.09774 78.844034) + (xy 147.097739 78.844033) (xy 147.097735 78.844032) (xy 147.024677 78.8295) (xy 147.024674 78.8295) + (xy 145.075326 78.8295) (xy 145.075323 78.8295) (xy 145.002264 78.844032) (xy 145.00226 78.844033) + (xy 144.919399 78.899399) (xy 144.864033 78.98226) (xy 144.864032 78.982264) (xy 144.8495 79.055321) + (xy 144.8495 79.499578) (xy 144.829815 79.566617) (xy 144.777011 79.612372) (xy 144.707853 79.622316) + (xy 144.644297 79.593291) (xy 144.6145 79.55485) (xy 144.535061 79.395316) (xy 144.535056 79.395308) + (xy 144.400979 79.217761) (xy 144.236562 79.067876) (xy 144.23656 79.067874) (xy 144.047404 78.950754) + (xy 144.047398 78.950752) (xy 143.83994 78.870382) (xy 143.621243 78.8295) (xy 143.398757 78.8295) + (xy 143.18006 78.870382) (xy 143.105159 78.899399) (xy 142.972601 78.950752) (xy 142.972595 78.950754) + (xy 142.783439 79.067874) (xy 142.783437 79.067876) (xy 142.61902 79.217761) (xy 142.484943 79.395308) + (xy 142.484938 79.395316) (xy 142.385775 79.594461) (xy 142.385769 79.594476) (xy 142.359266 79.687627) + (xy 142.321987 79.746721) (xy 142.258677 79.776278) (xy 142.189438 79.766916) (xy 142.136251 79.721606) + (xy 142.120734 79.687627) (xy 142.09423 79.594476) (xy 142.094229 79.594472) (xy 142.080359 79.566617) + (xy 141.995061 79.395316) (xy 141.995056 79.395308) (xy 141.860979 79.217761) (xy 141.696562 79.067876) + (xy 141.69656 79.067874) (xy 141.507404 78.950754) (xy 141.507398 78.950752) (xy 141.29994 78.870382) + (xy 141.081243 78.8295) (xy 140.858757 78.8295) (xy 140.64006 78.870382) (xy 140.565159 78.899399) + (xy 140.432601 78.950752) (xy 140.432595 78.950754) (xy 140.243439 79.067874) (xy 140.243437 79.067876) + (xy 140.07902 79.217761) (xy 139.944943 79.395308) (xy 139.944938 79.395316) (xy 139.845775 79.594461) + (xy 139.845769 79.594476) (xy 139.819266 79.687627) (xy 139.781987 79.746721) (xy 139.718677 79.776278) + (xy 139.649438 79.766916) (xy 139.596251 79.721606) (xy 139.580734 79.687627) (xy 139.55423 79.594476) + (xy 139.554229 79.594472) (xy 139.540359 79.566617) (xy 139.455061 79.395316) (xy 139.455056 79.395308) + (xy 139.320979 79.217761) (xy 139.156562 79.067876) (xy 139.15656 79.067874) (xy 138.967404 78.950754) + (xy 138.967398 78.950752) (xy 138.75994 78.870382) (xy 138.541243 78.8295) (xy 138.318757 78.8295) + (xy 138.10006 78.870382) (xy 138.025159 78.899399) (xy 137.892601 78.950752) (xy 137.892595 78.950754) + (xy 137.703439 79.067874) (xy 137.703437 79.067876) (xy 137.53902 79.217761) (xy 137.404943 79.395308) + (xy 137.404938 79.395316) (xy 137.305775 79.594461) (xy 137.305769 79.594476) (xy 137.244885 79.808462) + (xy 137.244884 79.808464) (xy 137.224357 80.029999) (xy 137.224357 80.03) (xy 137.244884 80.251535) + (xy 137.244885 80.251537) (xy 137.305769 80.465523) (xy 137.305775 80.465538) (xy 137.404938 80.664683) + (xy 137.404943 80.664691) (xy 137.53902 80.842238) (xy 137.703437 80.992123) (xy 137.703439 80.992125) + (xy 137.892595 81.109245) (xy 137.892596 81.109245) (xy 137.892599 81.109247) (xy 138.10006 81.189618) + (xy 138.318757 81.2305) (xy 138.318759 81.2305) (xy 138.541241 81.2305) (xy 138.541243 81.2305) + (xy 138.75994 81.189618) (xy 138.967401 81.109247) (xy 139.156562 80.992124) (xy 139.320981 80.842236) + (xy 139.455058 80.664689) (xy 139.554229 80.465528) (xy 139.580734 80.372371) (xy 139.618013 80.313278) + (xy 139.681323 80.283721) (xy 139.750562 80.293083) (xy 139.803749 80.338393) (xy 139.819266 80.372372) + (xy 139.845769 80.465523) (xy 139.845775 80.465538) (xy 139.944938 80.664683) (xy 139.944943 80.664691) + (xy 140.07902 80.842238) (xy 140.243437 80.992123) (xy 140.243439 80.992125) (xy 140.432595 81.109245) + (xy 140.432596 81.109245) (xy 140.432599 81.109247) (xy 140.64006 81.189618) (xy 140.858757 81.2305) + (xy 140.858759 81.2305) (xy 141.081241 81.2305) (xy 141.081243 81.2305) (xy 141.29994 81.189618) + (xy 141.507401 81.109247) (xy 141.696562 80.992124) (xy 141.860981 80.842236) (xy 141.995058 80.664689) + (xy 142.094229 80.465528) (xy 142.120734 80.372371) (xy 142.158013 80.313278) (xy 142.221323 80.283721) + (xy 142.290562 80.293083) (xy 142.343749 80.338393) (xy 142.359266 80.372372) (xy 142.385769 80.465523) + (xy 142.385775 80.465538) (xy 142.484938 80.664683) (xy 142.484943 80.664691) (xy 142.61902 80.842238) + (xy 142.783437 80.992123) (xy 142.783439 80.992125) (xy 142.972595 81.109245) (xy 142.972596 81.109245) + (xy 142.972599 81.109247) (xy 143.18006 81.189618) (xy 143.398757 81.2305) (xy 143.398759 81.2305) + (xy 143.621241 81.2305) (xy 143.621243 81.2305) (xy 143.83994 81.189618) (xy 144.047401 81.109247) + (xy 144.236562 80.992124) (xy 144.400981 80.842236) (xy 144.535058 80.664689) (xy 144.6145 80.505149) + (xy 144.662003 80.453912) (xy 144.729666 80.436491) (xy 144.796006 80.458417) (xy 144.839961 80.512728) + (xy 144.8495 80.560421) (xy 144.8495 81.004678) (xy 144.864032 81.077735) (xy 144.864033 81.077739) + (xy 144.864034 81.07774) (xy 144.919399 81.160601) (xy 144.9194 81.160601) (xy 144.926185 81.170756) + (xy 144.92435 81.171981) (xy 144.950102 81.219142) (xy 144.945118 81.288834) (xy 144.903246 81.344767) + (xy 144.837782 81.369184) (xy 144.828936 81.3695) (xy 144.668757 81.3695) (xy 144.45006 81.410382) + (xy 144.318864 81.461207) (xy 144.242601 81.490752) (xy 144.242595 81.490754) (xy 144.053439 81.607874) + (xy 144.053437 81.607876) (xy 143.88902 81.757761) (xy 143.754943 81.935308) (xy 143.754938 81.935316) + (xy 143.655775 82.134461) (xy 143.655769 82.134476) (xy 143.629266 82.227627) (xy 143.591987 82.286721) + (xy 143.528677 82.316278) (xy 143.459438 82.306916) (xy 143.406251 82.261606) (xy 143.390734 82.227627) + (xy 143.36423 82.134476) (xy 143.364229 82.134472) (xy 143.364224 82.134461) (xy 143.265061 81.935316) + (xy 143.265056 81.935308) (xy 143.130979 81.757761) (xy 142.966562 81.607876) (xy 142.96656 81.607874) + (xy 142.777404 81.490754) (xy 142.777398 81.490752) (xy 142.56994 81.410382) (xy 142.351243 81.3695) + (xy 142.128757 81.3695) (xy 141.91006 81.410382) (xy 141.778864 81.461207) (xy 141.702601 81.490752) + (xy 141.702595 81.490754) (xy 141.513439 81.607874) (xy 141.513437 81.607876) (xy 141.34902 81.757761) + (xy 141.214943 81.935308) (xy 141.214938 81.935316) (xy 141.115775 82.134461) (xy 141.115769 82.134476) + (xy 141.089266 82.227627) (xy 141.051987 82.286721) (xy 140.988677 82.316278) (xy 140.919438 82.306916) + (xy 140.866251 82.261606) (xy 140.850734 82.227627) (xy 140.82423 82.134476) (xy 140.824229 82.134472) + (xy 140.824224 82.134461) (xy 140.725061 81.935316) (xy 140.725056 81.935308) (xy 140.590979 81.757761) + (xy 140.426562 81.607876) (xy 140.42656 81.607874) (xy 140.237404 81.490754) (xy 140.237398 81.490752) + (xy 140.02994 81.410382) (xy 139.811243 81.3695) (xy 139.588757 81.3695) (xy 139.37006 81.410382) + (xy 139.238864 81.461207) (xy 139.162601 81.490752) (xy 139.162595 81.490754) (xy 138.973439 81.607874) + (xy 138.973437 81.607876) (xy 138.80902 81.757761) (xy 138.674943 81.935308) (xy 138.674938 81.935316) + (xy 138.575775 82.134461) (xy 138.575769 82.134476) (xy 138.549266 82.227627) (xy 138.511987 82.286721) + (xy 138.448677 82.316278) (xy 138.379438 82.306916) (xy 138.326251 82.261606) (xy 138.310734 82.227627) + (xy 138.28423 82.134476) (xy 138.284229 82.134472) (xy 138.284224 82.134461) (xy 138.185061 81.935316) + (xy 138.185056 81.935308) (xy 138.050979 81.757761) (xy 137.886562 81.607876) (xy 137.88656 81.607874) + (xy 137.697404 81.490754) (xy 137.697398 81.490752) (xy 137.48994 81.410382) (xy 137.271243 81.3695) + (xy 137.048757 81.3695) (xy 136.83006 81.410382) (xy 136.698864 81.461207) (xy 136.622601 81.490752) + (xy 136.622595 81.490754) (xy 136.433439 81.607874) (xy 136.433437 81.607876) (xy 136.26902 81.757761) + (xy 136.134943 81.935308) (xy 136.134938 81.935316) (xy 136.035775 82.134461) (xy 136.035769 82.134476) + (xy 135.974885 82.348462) (xy 135.974884 82.348464) (xy 135.954357 82.569999) (xy 135.2245 82.569999) + (xy 135.2245 80.079543) (xy 135.244185 80.012504) (xy 135.260819 79.991862) (xy 137.806592 77.446089) + (xy 140.742469 74.510212) (xy 140.788614 74.430288) (xy 140.8125 74.341144) (xy 140.8125 73.567065) + (xy 145.4445 73.567065) (xy 145.4445 73.812934) (xy 145.465371 73.971456) (xy 145.476591 74.056677) + (xy 145.540222 74.294152) (xy 145.540225 74.294162) (xy 145.629717 74.510214) (xy 145.634306 74.521292) + (xy 145.757233 74.734208) (xy 145.757235 74.734211) (xy 145.757236 74.734212) (xy 145.906897 74.929254) + (xy 145.906903 74.929261) (xy 146.080738 75.103096) (xy 146.080744 75.103101) (xy 146.275792 75.252767) + (xy 146.488708 75.375694) (xy 146.715847 75.469778) (xy 146.953323 75.533409) (xy 147.197073 75.5655) + (xy 147.19708 75.5655) (xy 147.44292 75.5655) (xy 147.442927 75.5655) (xy 147.686677 75.533409) + (xy 147.924153 75.469778) (xy 148.151292 75.375694) (xy 148.364208 75.252767) (xy 148.559256 75.103101) + (xy 148.733101 74.929256) (xy 148.882767 74.734208) (xy 149.005694 74.521292) (xy 149.099778 74.294153) + (xy 149.163409 74.056677) (xy 149.1955 73.812927) (xy 149.1955 73.567073) (xy 149.163409 73.323323) + (xy 149.099778 73.085847) (xy 149.005694 72.858708) (xy 148.882767 72.645792) (xy 148.733101 72.450744) + (xy 148.733096 72.450738) (xy 148.559261 72.276903) (xy 148.559254 72.276897) (xy 148.364212 72.127236) + (xy 148.364211 72.127235) (xy 148.364208 72.127233) (xy 148.151292 72.004306) (xy 148.151285 72.004303) + (xy 147.924162 71.910225) (xy 147.924155 71.910223) (xy 147.924153 71.910222) (xy 147.686677 71.846591) + (xy 147.645939 71.841227) (xy 147.442934 71.8145) (xy 147.442927 71.8145) (xy 147.197073 71.8145) + (xy 147.197065 71.8145) (xy 146.965059 71.845045) (xy 146.953323 71.846591) (xy 146.715847 71.910222) + (xy 146.715837 71.910225) (xy 146.488714 72.004303) (xy 146.488705 72.004307) (xy 146.275787 72.127236) + (xy 146.080745 72.276897) (xy 146.080738 72.276903) (xy 145.906903 72.450738) (xy 145.906897 72.450745) + (xy 145.757236 72.645787) (xy 145.634307 72.858705) (xy 145.634303 72.858714) (xy 145.540225 73.085837) + (xy 145.540222 73.085847) (xy 145.476592 73.32332) (xy 145.47659 73.323331) (xy 145.4445 73.567065) + (xy 140.8125 73.567065) (xy 140.8125 72.205544) (xy 140.832185 72.138505) (xy 140.848819 72.117863) + (xy 142.269863 70.696819) (xy 142.331186 70.663334) (xy 142.357544 70.6605) (xy 144.153274 70.6605) + (xy 144.220313 70.680185) (xy 144.266068 70.732989) (xy 144.268901 70.739708) (xy 144.270452 70.743713) + (xy 144.369207 70.94204) (xy 144.369212 70.942048) (xy 144.502731 71.118856) (xy 144.643585 71.24726) + (xy 144.666464 71.268117) (xy 144.809936 71.356951) (xy 144.833361 71.371456) (xy 144.854837 71.384753) + (xy 145.061434 71.464789) (xy 145.279221 71.5055) (xy 145.279223 71.5055) (xy 145.500777 71.5055) + (xy 145.500779 71.5055) (xy 145.718566 71.464789) (xy 145.925163 71.384753) (xy 146.113536 71.268117) + (xy 146.27727 71.118854) (xy 146.410789 70.942046) (xy 146.509547 70.743715) (xy 146.570179 70.530614) + (xy 146.590622 70.31) (xy 146.570179 70.089386) (xy 146.509547 69.876285) (xy 146.495444 69.847962) + (xy 146.410792 69.677959) (xy 146.410787 69.677951) (xy 146.277268 69.501143) (xy 146.113537 69.351884) + (xy 146.113536 69.351883) (xy 145.982562 69.270787) (xy 145.925164 69.235247) (xy 145.925162 69.235246) + (xy 145.745285 69.165562) (xy 145.718566 69.155211) (xy 145.500779 69.1145) (xy 145.279221 69.1145) + (xy 145.061434 69.155211) (xy 145.061431 69.155211) (xy 145.061431 69.155212) (xy 144.854837 69.235246) + (xy 144.854835 69.235247) (xy 144.666462 69.351884) (xy 144.502731 69.501143) (xy 144.369212 69.677951) + (xy 144.369207 69.677959) (xy 144.270452 69.876286) (xy 144.268901 69.880292) (xy 144.226329 69.935695) + (xy 144.160563 69.959286) (xy 144.153274 69.9595) (xy 142.114856 69.9595) (xy 142.025712 69.983386) + (xy 142.025709 69.983387) (xy 141.945791 70.029527) (xy 141.945786 70.029531) (xy 140.516181 71.459137) + (xy 140.454858 71.492622) (xy 140.385166 71.487638) (xy 140.329233 71.445766) (xy 140.304816 71.380302) + (xy 140.3045 71.371456) (xy 140.3045 70.877858) (xy 140.3045 70.877856) (xy 140.280614 70.788712) + (xy 140.252322 70.739708) (xy 140.234472 70.708791) (xy 140.234468 70.708786) (xy 138.939424 69.413742) + (xy 138.905939 69.352419) (xy 138.910923 69.282727) (xy 138.916096 69.270809) (xy 138.939547 69.223715) + (xy 139.000179 69.010614) (xy 139.005687 68.951173) (xy 139.031471 68.886239) (xy 139.088271 68.84555) + (xy 139.158052 68.84203) (xy 139.204643 68.86424) (xy 139.233313 68.886239) (xy 139.295375 68.933861) + (xy 139.429291 68.98933) (xy 139.549135 69.005108) (xy 139.572999 69.00825) (xy 139.573 69.00825) + (xy 139.573001 69.00825) (xy 139.596865 69.005108) (xy 139.716709 68.98933) (xy 139.850625 68.933861) + (xy 139.878514 68.91246) (xy 139.943683 68.887267) (xy 140.012127 68.901305) (xy 140.029481 68.912457) + (xy 140.057375 68.933861) (xy 140.191291 68.98933) (xy 140.311138 69.005108) (xy 140.375034 69.033374) + (xy 140.413505 69.091699) (xy 140.417891 69.111861) (xy 140.43367 69.231707) (xy 140.433671 69.231712) + (xy 140.489137 69.365622) (xy 140.489138 69.365624) (xy 140.489139 69.365625) (xy 140.577379 69.480621) + (xy 140.692375 69.568861) (xy 140.826291 69.62433) (xy 140.95328 69.641048) (xy 140.969999 69.64325) + (xy 140.97 69.64325) (xy 140.970001 69.64325) (xy 140.984977 69.641278) (xy 141.113709 69.62433) + (xy 141.247625 69.568861) (xy 141.362621 69.480621) (xy 141.450861 69.365625) (xy 141.50633 69.231709) + (xy 141.506331 69.2317) (xy 141.508067 69.225224) (xy 141.544429 69.165562) (xy 141.607275 69.13503) + (xy 141.611633 69.134376) (xy 141.748709 69.11633) (xy 141.882625 69.060861) (xy 141.997621 68.972621) + (xy 142.085861 68.857625) (xy 142.113872 68.789999) (xy 146.729378 68.789999) (xy 146.729378 68.79) + (xy 146.74982 69.010609) (xy 146.74982 69.010611) (xy 146.749821 69.010614) (xy 146.793907 69.165562) + (xy 146.810454 69.223718) (xy 146.909207 69.42204) (xy 146.909212 69.422048) (xy 147.042731 69.598856) + (xy 147.129504 69.677959) (xy 147.206464 69.748117) (xy 147.394837 69.864753) (xy 147.601434 69.944789) + (xy 147.819221 69.9855) (xy 147.819223 69.9855) (xy 148.040777 69.9855) (xy 148.040779 69.9855) + (xy 148.258566 69.944789) (xy 148.465163 69.864753) (xy 148.653536 69.748117) (xy 148.81727 69.598854) + (xy 148.950789 69.422046) (xy 149.049547 69.223715) (xy 149.110179 69.010614) (xy 149.130622 68.79) + (xy 149.110179 68.569386) (xy 149.049547 68.356285) (xy 149.041254 68.339631) (xy 148.950792 68.157959) + (xy 148.950787 68.157951) (xy 148.817268 67.981143) (xy 148.653537 67.831884) (xy 148.653536 67.831883) + (xy 148.5818 67.787465) (xy 148.465164 67.715247) (xy 148.465162 67.715246) (xy 148.314099 67.656724) + (xy 148.258566 67.635211) (xy 148.040779 67.5945) (xy 147.819221 67.5945) (xy 147.601434 67.635211) + (xy 147.601431 67.635211) (xy 147.601431 67.635212) (xy 147.394837 67.715246) (xy 147.394835 67.715247) + (xy 147.206462 67.831884) (xy 147.042731 67.981143) (xy 146.909212 68.157951) (xy 146.909207 68.157959) + (xy 146.810454 68.356281) (xy 146.782935 68.453) (xy 146.75708 68.543875) (xy 146.74982 68.56939) + (xy 146.729378 68.789999) (xy 142.113872 68.789999) (xy 142.14133 68.723709) (xy 142.16025 68.58) + (xy 142.14133 68.436291) (xy 142.085861 68.302375) (xy 141.997621 68.187379) (xy 141.882625 68.099139) + (xy 141.882624 68.099138) (xy 141.882622 68.099137) (xy 141.748712 68.043671) (xy 141.74871 68.04367) + (xy 141.748709 68.04367) (xy 141.676854 68.03421) (xy 141.605001 68.02475) (xy 141.604999 68.02475) + (xy 141.461291 68.04367) (xy 141.461287 68.043671) (xy 141.327377 68.099137) (xy 141.212379 68.187379) + (xy 141.124138 68.302376) (xy 141.108707 68.339631) (xy 141.064865 68.394034) (xy 140.998571 68.416098) + (xy 140.930871 68.398818) (xy 140.883261 68.34768) (xy 140.873864 68.317025) (xy 140.873433 68.317141) + (xy 140.87133 68.309297) (xy 140.87133 68.309291) (xy 140.815861 68.175375) (xy 140.727621 68.060379) + (xy 140.612625 67.972139) (xy 140.612624 67.972138) (xy 140.612622 67.972137) (xy 140.478712 67.916671) + (xy 140.47871 67.91667) (xy 140.478709 67.91667) (xy 140.406854 67.90721) (xy 140.335001 67.89775) + (xy 140.334999 67.89775) (xy 140.191291 67.91667) (xy 140.191287 67.916671) (xy 140.057375 67.972138) + (xy 140.029485 67.993539) (xy 139.964316 68.018732) (xy 139.895871 68.004693) (xy 139.878515 67.993539) + (xy 139.862359 67.981143) (xy 139.850625 67.972139) (xy 139.850623 67.972138) (xy 139.850625 67.972138) + (xy 139.716712 67.916671) (xy 139.71671 67.91667) (xy 139.716709 67.91667) (xy 139.644854 67.90721) + (xy 139.573001 67.89775) (xy 139.572999 67.89775) (xy 139.429291 67.91667) (xy 139.429287 67.916671) + (xy 139.295377 67.972137) (xy 139.180379 68.060379) (xy 139.092136 68.175378) (xy 139.086133 68.189872) + (xy 139.042291 68.244274) (xy 138.975996 68.266336) (xy 138.908297 68.249055) (xy 138.860688 68.197916) + (xy 138.860574 68.197686) (xy 138.840794 68.157963) (xy 138.840787 68.157951) (xy 138.707268 67.981143) + (xy 138.543537 67.831884) (xy 138.543536 67.831883) (xy 138.4718 67.787465) (xy 138.355164 67.715247) + (xy 138.355162 67.715246) (xy 138.204099 67.656724) (xy 138.148566 67.635211) (xy 137.930779 67.5945) + (xy 137.709221 67.5945) (xy 137.491434 67.635211) (xy 137.491431 67.635211) (xy 137.491431 67.635212) + (xy 137.284837 67.715246) (xy 137.284835 67.715247) (xy 137.096462 67.831884) (xy 136.932731 67.981143) + (xy 136.799212 68.157951) (xy 136.799207 68.157959) (xy 136.700454 68.356281) (xy 136.672935 68.453) + (xy 136.64708 68.543875) (xy 136.63982 68.56939) (xy 136.619378 68.789999) (xy 136.619378 68.79) + (xy 136.63982 69.010609) (xy 136.63982 69.010611) (xy 136.639821 69.010614) (xy 136.683907 69.165562) + (xy 136.700454 69.223718) (xy 136.799207 69.42204) (xy 136.799212 69.422048) (xy 136.932731 69.598856) + (xy 137.019504 69.677959) (xy 137.096464 69.748117) (xy 137.284837 69.864753) (xy 137.491434 69.944789) + (xy 137.709221 69.9855) (xy 137.709223 69.9855) (xy 137.930777 69.9855) (xy 137.930779 69.9855) + (xy 138.148566 69.944789) (xy 138.311469 69.881679) (xy 138.38109 69.875817) (xy 138.442831 69.908526) + (xy 138.443943 69.909625) (xy 139.567181 71.032863) (xy 139.600666 71.094186) (xy 139.6035 71.120544) + (xy 139.6035 73.971456) (xy 139.583815 74.038495) (xy 139.567181 74.059137) (xy 134.212532 79.413785) + (xy 134.212528 79.413791) (xy 134.170903 79.485888) (xy 134.166387 79.493709) (xy 134.166384 79.493715) + (xy 134.1425 79.582856) (xy 132.4805 79.582856) (xy 132.4805 77.883465) (xy 132.500185 77.816426) + (xy 132.552989 77.770671) (xy 132.622147 77.760727) (xy 132.685703 77.789752) (xy 132.698786 77.80293) + (xy 132.730241 77.839759) (xy 132.841589 77.934859) (xy 132.915823 77.998261) (xy 132.915826 77.998262) + (xy 133.12391 78.125777) (xy 133.349381 78.219169) (xy 133.349378 78.219169) (xy 133.349384 78.21917) + (xy 133.349388 78.219172) (xy 133.586698 78.276146) (xy 133.83 78.295294) (xy 134.073302 78.276146) + (xy 134.310612 78.219172) (xy 134.536089 78.125777) (xy 134.744179 77.998259) (xy 134.929759 77.839759) + (xy 135.088259 77.654179) (xy 135.215777 77.446089) (xy 135.309172 77.220612) (xy 135.366146 76.983302) + (xy 135.385294 76.74) (xy 135.366146 76.496698) (xy 135.309172 76.259388) (xy 135.215777 76.033911) + (xy 135.215777 76.03391) (xy 135.088262 75.825826) (xy 135.088261 75.825823) (xy 135.052453 75.783897) + (xy 134.929759 75.640241) (xy 134.804672 75.533407) (xy 134.744176 75.481738) (xy 134.744173 75.481737) + (xy 134.536089 75.354222) (xy 134.310618 75.26083) (xy 134.310621 75.26083) (xy 134.204992 75.23547) + (xy 134.073302 75.203854) (xy 134.0733 75.203853) (xy 134.073297 75.203853) (xy 133.83 75.184706) + (xy 133.586702 75.203853) (xy 133.34938 75.26083) (xy 133.12391 75.354222) (xy 132.915826 75.481737) + (xy 132.915823 75.481738) (xy 132.730241 75.640241) (xy 132.69879 75.677066) (xy 132.640283 75.715259) + (xy 132.570416 75.715758) (xy 132.511369 75.678404) (xy 132.481891 75.615057) (xy 132.4805 75.596534) + (xy 132.4805 73.567065) (xy 134.0145 73.567065) (xy 134.0145 73.812934) (xy 134.035371 73.971456) + (xy 134.046591 74.056677) (xy 134.110222 74.294152) (xy 134.110225 74.294162) (xy 134.199717 74.510214) + (xy 134.204306 74.521292) (xy 134.327233 74.734208) (xy 134.327235 74.734211) (xy 134.327236 74.734212) + (xy 134.476897 74.929254) (xy 134.476903 74.929261) (xy 134.650738 75.103096) (xy 134.650744 75.103101) + (xy 134.845792 75.252767) (xy 135.058708 75.375694) (xy 135.285847 75.469778) (xy 135.523323 75.533409) + (xy 135.767073 75.5655) (xy 135.76708 75.5655) (xy 136.01292 75.5655) (xy 136.012927 75.5655) (xy 136.256677 75.533409) + (xy 136.494153 75.469778) (xy 136.721292 75.375694) (xy 136.934208 75.252767) (xy 137.129256 75.103101) + (xy 137.303101 74.929256) (xy 137.452767 74.734208) (xy 137.575694 74.521292) (xy 137.669778 74.294153) + (xy 137.733409 74.056677) (xy 137.7655 73.812927) (xy 137.7655 73.567073) (xy 137.733409 73.323323) + (xy 137.669778 73.085847) (xy 137.575694 72.858708) (xy 137.452767 72.645792) (xy 137.303101 72.450744) + (xy 137.303096 72.450738) (xy 137.129261 72.276903) (xy 137.129254 72.276897) (xy 136.934212 72.127236) + (xy 136.934211 72.127235) (xy 136.934208 72.127233) (xy 136.721292 72.004306) (xy 136.721285 72.004303) + (xy 136.494162 71.910225) (xy 136.494155 71.910223) (xy 136.494153 71.910222) (xy 136.256677 71.846591) + (xy 136.215939 71.841227) (xy 136.012934 71.8145) (xy 136.012927 71.8145) (xy 135.767073 71.8145) + (xy 135.767065 71.8145) (xy 135.535059 71.845045) (xy 135.523323 71.846591) (xy 135.285847 71.910222) + (xy 135.285837 71.910225) (xy 135.058714 72.004303) (xy 135.058705 72.004307) (xy 134.845787 72.127236) + (xy 134.650745 72.276897) (xy 134.650738 72.276903) (xy 134.476903 72.450738) (xy 134.476897 72.450745) + (xy 134.327236 72.645787) (xy 134.204307 72.858705) (xy 134.204303 72.858714) (xy 134.110225 73.085837) + (xy 134.110222 73.085847) (xy 134.046592 73.32332) (xy 134.04659 73.323331) (xy 134.0145 73.567065) + (xy 132.4805 73.567065) (xy 132.4805 70.309999) (xy 134.079378 70.309999) (xy 134.079378 70.31) + (xy 134.09982 70.530609) (xy 134.09982 70.530611) (xy 134.099821 70.530614) (xy 134.160453 70.743715) + (xy 134.160454 70.743718) (xy 134.259207 70.94204) (xy 134.259212 70.942048) (xy 134.392731 71.118856) + (xy 134.533585 71.24726) (xy 134.556464 71.268117) (xy 134.699936 71.356951) (xy 134.723361 71.371456) + (xy 134.744837 71.384753) (xy 134.951434 71.464789) (xy 135.169221 71.5055) (xy 135.169223 71.5055) + (xy 135.390777 71.5055) (xy 135.390779 71.5055) (xy 135.608566 71.464789) (xy 135.815163 71.384753) + (xy 136.003536 71.268117) (xy 136.16727 71.118854) (xy 136.300789 70.942046) (xy 136.399547 70.743715) + (xy 136.460179 70.530614) (xy 136.480622 70.31) (xy 136.460179 70.089386) (xy 136.399547 69.876285) + (xy 136.385444 69.847962) (xy 136.300792 69.677959) (xy 136.300787 69.677951) (xy 136.167268 69.501143) + (xy 136.003537 69.351884) (xy 136.003536 69.351883) (xy 135.872562 69.270787) (xy 135.815164 69.235247) + (xy 135.815162 69.235246) (xy 135.635285 69.165562) (xy 135.608566 69.155211) (xy 135.390779 69.1145) + (xy 135.169221 69.1145) (xy 134.951434 69.155211) (xy 134.951431 69.155211) (xy 134.951431 69.155212) + (xy 134.744837 69.235246) (xy 134.744835 69.235247) (xy 134.556462 69.351884) (xy 134.392731 69.501143) + (xy 134.259212 69.677951) (xy 134.259207 69.677959) (xy 134.160454 69.876281) (xy 134.09982 70.08939) + (xy 134.079378 70.309999) (xy 132.4805 70.309999) (xy 132.4805 63.2625) (xy 132.500185 63.195461) + (xy 132.552989 63.149706) (xy 132.6045 63.1385) (xy 150.6055 63.1385) + ) + ) + ) + (zone + (net 1) + (net_name "+1V2") + (layer "In2.Cu") + (uuid "fb1ea774-6016-4220-874e-bea6d35041c8") + (hatch edge 0.5) + (priority 10) + (connect_pads + (clearance 0.3) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + ) + (polygon + (pts + (xy 147.066 101.727) (xy 140.97 101.727) (xy 136.525 97.663) (xy 136.525 96.52) (xy 137.541 96.52) + (xy 137.541 97.663) (xy 141.097 100.838) (xy 147.066 100.838) + ) + ) + (filled_polygon + (layer "In2.Cu") + (pts + (xy 137.484039 96.539685) (xy 137.529794 96.592489) (xy 137.541 96.644) (xy 137.541 97.663) (xy 141.095879 100.836999) + (xy 141.132776 100.896332) (xy 141.136232 100.913309) (xy 141.140512 100.945814) (xy 141.146956 100.994762) + (xy 141.207464 101.140841) (xy 141.303718 101.266282) (xy 141.429159 101.362536) (xy 141.575238 101.423044) + (xy 141.653619 101.433363) (xy 141.731999 101.443682) (xy 141.732 101.443682) (xy 141.732001 101.443682) + (xy 141.784254 101.436802) (xy 141.888762 101.423044) (xy 142.002049 101.376118) (xy 142.071516 101.36865) + (xy 142.096946 101.376117) (xy 142.210238 101.423044) (xy 142.288619 101.433363) (xy 142.366999 101.443682) + (xy 142.367 101.443682) (xy 142.367001 101.443682) (xy 142.419254 101.436802) (xy 142.523762 101.423044) + (xy 142.669841 101.362536) (xy 142.795282 101.266282) (xy 142.891536 101.140841) (xy 142.952044 100.994762) + (xy 142.958488 100.945813) (xy 142.986755 100.881918) (xy 143.045079 100.843447) (xy 143.081427 100.838) + (xy 146.33784 100.838) (xy 146.385291 100.847438) (xy 146.401238 100.854044) (xy 146.558 100.874682) + (xy 146.558001 100.874681) (xy 146.561513 100.875144) (xy 146.62541 100.90341) (xy 146.633009 100.910402) + (xy 147.029681 101.307074) (xy 147.063166 101.368396) (xy 147.066 101.394754) (xy 147.066 101.500443) + (xy 147.046315 101.567482) (xy 146.993511 101.613237) (xy 146.924353 101.623181) (xy 146.866518 101.598822) + (xy 146.860843 101.594468) (xy 146.860838 101.594466) (xy 146.714762 101.533959) (xy 146.71476 101.533958) + (xy 146.558001 101.513321) (xy 146.557999 101.513321) (xy 146.401239 101.533958) (xy 146.401237 101.533959) + (xy 146.25516 101.594466) (xy 146.249483 101.598822) (xy 146.129718 101.690721) (xy 146.129716 101.690723) + (xy 146.123271 101.695669) (xy 146.122489 101.69465) (xy 146.068435 101.724166) (xy 146.042077 101.727) + (xy 141.018142 101.727) (xy 140.951103 101.707315) (xy 140.934471 101.694516) (xy 136.670055 97.795621) + (xy 136.646339 97.766105) (xy 136.628543 97.735282) (xy 136.59148 97.671087) (xy 136.561319 97.640926) + (xy 136.527834 97.579603) (xy 136.525 97.553245) (xy 136.525 96.644) (xy 136.544685 96.576961) (xy 136.597489 96.531206) + (xy 136.649 96.52) (xy 137.417 96.52) + ) + ) + ) + (zone + (net 7) + (net_name "+3V3") + (layer "B.Cu") + (uuid "eaadafb3-53bc-47bf-b246-3cc17f6acdbc") + (hatch edge 0.5) + (connect_pads + (clearance 0.25) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + ) + (polygon + (pts + (xy 132.08 62.23) (xy 151.13 62.23) (xy 151.13 125.73) (xy 132.08 125.73) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 135.505 115.136988) (xy 135.447993 115.104075) (xy 135.320826 115.07) (xy 135.189174 115.07) + (xy 135.062007 115.104075) (xy 135.005 115.136988) (xy 135.005 113.463012) (xy 135.062007 113.495925) + (xy 135.189174 113.53) (xy 135.320826 113.53) (xy 135.447993 113.495925) (xy 135.505 113.463012) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 136.397539 63.158185) (xy 136.443294 63.210989) (xy 136.4545 63.2625) (xy 136.4545 65.206678) + (xy 136.469032 65.279735) (xy 136.469033 65.279739) (xy 136.469034 65.27974) (xy 136.524399 65.362601) + (xy 136.607257 65.417964) (xy 136.60726 65.417966) (xy 136.607264 65.417967) (xy 136.680321 65.432499) + (xy 136.680324 65.4325) (xy 136.680326 65.4325) (xy 139.029676 65.4325) (xy 139.029677 65.432499) + (xy 139.10274 65.417966) (xy 139.185601 65.362601) (xy 139.185603 65.362597) (xy 139.192319 65.355883) + (xy 139.253642 65.322398) (xy 139.323334 65.327382) (xy 139.367681 65.355883) (xy 139.374396 65.362598) + (xy 139.374397 65.362599) (xy 139.374399 65.362601) (xy 139.457257 65.417964) (xy 139.45726 65.417966) + (xy 139.457264 65.417967) (xy 139.530321 65.432499) (xy 139.530324 65.4325) (xy 139.530326 65.4325) + (xy 141.379676 65.4325) (xy 141.379677 65.432499) (xy 141.45274 65.417966) (xy 141.535601 65.362601) + (xy 141.5356 65.362601) (xy 141.53611 65.362261) (xy 141.602787 65.341383) (xy 141.670167 65.359868) + (xy 141.67389 65.362261) (xy 141.757256 65.417964) (xy 141.757258 65.417964) (xy 141.75726 65.417966) + (xy 141.757262 65.417966) (xy 141.757264 65.417967) (xy 141.830321 65.432499) (xy 141.830324 65.4325) + (xy 141.830326 65.4325) (xy 143.679676 65.4325) (xy 143.679677 65.432499) (xy 143.75274 65.417966) + (xy 143.835601 65.362601) (xy 143.835603 65.362597) (xy 143.842319 65.355883) (xy 143.903642 65.322398) + (xy 143.973334 65.327382) (xy 144.017681 65.355883) (xy 144.024396 65.362598) (xy 144.024397 65.362599) + (xy 144.024399 65.362601) (xy 144.107257 65.417964) (xy 144.10726 65.417966) (xy 144.107264 65.417967) + (xy 144.180321 65.432499) (xy 144.180324 65.4325) (xy 144.180326 65.4325) (xy 146.529676 65.4325) + (xy 146.529677 65.432499) (xy 146.60274 65.417966) (xy 146.685601 65.362601) (xy 146.740966 65.27974) + (xy 146.7555 65.206674) (xy 146.7555 63.2625) (xy 146.775185 63.195461) (xy 146.827989 63.149706) + (xy 146.8795 63.1385) (xy 150.6055 63.1385) (xy 150.672539 63.158185) (xy 150.718294 63.210989) + (xy 150.7295 63.2625) (xy 150.7295 75.596534) (xy 150.709815 75.663573) (xy 150.657011 75.709328) + (xy 150.587853 75.719272) (xy 150.524297 75.690247) (xy 150.51121 75.677066) (xy 150.499686 75.663573) + (xy 150.479759 75.640241) (xy 150.354672 75.533407) (xy 150.294176 75.481738) (xy 150.294173 75.481737) + (xy 150.086089 75.354222) (xy 149.860618 75.26083) (xy 149.860621 75.26083) (xy 149.754992 75.23547) + (xy 149.623302 75.203854) (xy 149.6233 75.203853) (xy 149.623297 75.203853) (xy 149.38 75.184706) + (xy 149.136702 75.203853) (xy 148.89938 75.26083) (xy 148.67391 75.354222) (xy 148.465826 75.481737) + (xy 148.465823 75.481738) (xy 148.280241 75.640241) (xy 148.121738 75.825823) (xy 148.121737 75.825826) + (xy 147.994222 76.03391) (xy 147.90083 76.25938) (xy 147.843853 76.496702) (xy 147.824706 76.74) + (xy 147.843853 76.983297) (xy 147.90083 77.220619) (xy 147.994222 77.446089) (xy 148.121737 77.654173) + (xy 148.121738 77.654176) (xy 148.121741 77.654179) (xy 148.280241 77.839759) (xy 148.423897 77.962453) + (xy 148.465823 77.998261) (xy 148.465826 77.998262) (xy 148.67391 78.125777) (xy 148.899381 78.219169) + (xy 148.899378 78.219169) (xy 148.899384 78.21917) (xy 148.899388 78.219172) (xy 149.136698 78.276146) + (xy 149.38 78.295294) (xy 149.623302 78.276146) (xy 149.860612 78.219172) (xy 150.086089 78.125777) + (xy 150.294179 77.998259) (xy 150.479759 77.839759) (xy 150.51121 77.802934) (xy 150.569715 77.764741) + (xy 150.639583 77.764241) (xy 150.69863 77.801594) (xy 150.728108 77.864941) (xy 150.7295 77.883465) + (xy 150.7295 110.911548) (xy 150.709815 110.978587) (xy 150.657011 111.024342) (xy 150.587853 111.034286) + (xy 150.524297 111.005261) (xy 150.507129 110.98704) (xy 150.506624 110.986382) (xy 150.506622 110.98638) + (xy 150.506621 110.986379) (xy 150.391625 110.898139) (xy 150.391624 110.898138) (xy 150.391622 110.898137) + (xy 150.257712 110.842671) (xy 150.25771 110.84267) (xy 150.257709 110.84267) (xy 150.185854 110.83321) + (xy 150.114001 110.82375) (xy 150.113999 110.82375) (xy 149.970291 110.84267) (xy 149.970287 110.842671) + (xy 149.836377 110.898137) (xy 149.721379 110.986379) (xy 149.633137 111.101377) (xy 149.577671 111.235287) + (xy 149.57767 111.235291) (xy 149.55875 111.378999) (xy 149.55875 111.379) (xy 149.57767 111.522708) + (xy 149.577671 111.522712) (xy 149.633137 111.656622) (xy 149.633138 111.656624) (xy 149.633139 111.656625) + (xy 149.721379 111.771621) (xy 149.836375 111.859861) (xy 149.970291 111.91533) (xy 150.09728 111.932048) + (xy 150.113999 111.93425) (xy 150.114 111.93425) (xy 150.114001 111.93425) (xy 150.128977 111.932278) + (xy 150.257709 111.91533) (xy 150.391625 111.859861) (xy 150.506621 111.771621) (xy 150.507124 111.770964) + (xy 150.507691 111.77055) (xy 150.512368 111.765874) (xy 150.513097 111.766603) (xy 150.563551 111.729762) + (xy 150.633297 111.725607) (xy 150.694218 111.759819) (xy 150.726971 111.821536) (xy 150.7295 111.846451) + (xy 150.7295 125.2055) (xy 150.709815 125.272539) (xy 150.657011 125.318294) (xy 150.6055 125.3295) + (xy 132.6045 125.3295) (xy 132.537461 125.309815) (xy 132.491706 125.257011) (xy 132.4805 125.2055) + (xy 132.4805 112.132155) (xy 133.905 112.132155) (xy 133.905 112.78) (xy 134.821988 112.78) (xy 134.789075 112.837007) + (xy 134.755 112.964174) (xy 134.755 113.095826) (xy 134.789075 113.222993) (xy 134.821988 113.28) + (xy 133.905 113.28) (xy 133.905 113.927844) (xy 133.911401 113.987372) (xy 133.911403 113.987379) + (xy 133.961645 114.122086) (xy 133.961649 114.122093) (xy 134.047809 114.237187) (xy 134.047812 114.23719) + (xy 134.162906 114.32335) (xy 134.162913 114.323354) (xy 134.294986 114.372614) (xy 134.35092 114.414485) + (xy 134.375337 114.479949) (xy 134.360486 114.548222) (xy 134.339335 114.576477) (xy 134.216886 114.698926) + (xy 134.0814 114.89242) (xy 134.081399 114.892422) (xy 133.98157 115.106507) (xy 133.981567 115.106513) + (xy 133.924364 115.319999) (xy 133.924364 115.32) (xy 134.821988 115.32) (xy 134.789075 115.377007) + (xy 134.755 115.504174) (xy 134.755 115.635826) (xy 134.789075 115.762993) (xy 134.821988 115.82) + (xy 133.924364 115.82) (xy 133.981567 116.033486) (xy 133.98157 116.033492) (xy 134.081399 116.247578) + (xy 134.216894 116.441082) (xy 134.383917 116.608105) (xy 134.577421 116.7436) (xy 134.791507 116.843429) + (xy 134.791516 116.843433) (xy 135.005 116.900634) (xy 135.005 116.003012) (xy 135.062007 116.035925) + (xy 135.189174 116.07) (xy 135.320826 116.07) (xy 135.447993 116.035925) (xy 135.505 116.003012) + (xy 135.505 116.900633) (xy 135.718483 116.843433) (xy 135.718492 116.843429) (xy 135.932578 116.7436) + (xy 136.126082 116.608105) (xy 136.293105 116.441082) (xy 136.4286 116.247578) (xy 136.528429 116.033492) + (xy 136.528431 116.033489) (xy 136.537406 115.999992) (xy 136.57377 115.940331) (xy 136.636616 115.9098) + (xy 136.705992 115.918094) (xy 136.759871 115.962578) (xy 136.768182 115.976811) (xy 136.855327 116.151821) + (xy 136.978237 116.314581) (xy 137.128958 116.45198) (xy 137.12896 116.451982) (xy 137.228141 116.513392) + (xy 137.302363 116.559348) (xy 137.492544 116.633024) (xy 137.693024 116.6705) (xy 137.693026 116.6705) + (xy 137.896974 116.6705) (xy 137.896976 116.6705) (xy 138.097456 116.633024) (xy 138.287637 116.559348) + (xy 138.461041 116.451981) (xy 138.611764 116.314579) (xy 138.734673 116.151821) (xy 138.825582 115.96925) + (xy 138.881397 115.773083) (xy 138.900215 115.57) (xy 138.900215 115.569999) (xy 139.229785 115.569999) + (xy 139.229785 115.57) (xy 139.248602 115.773082) (xy 139.304417 115.969247) (xy 139.304422 115.96926) + (xy 139.395327 116.151821) (xy 139.518237 116.314581) (xy 139.668958 116.45198) (xy 139.66896 116.451982) + (xy 139.768141 116.513392) (xy 139.842363 116.559348) (xy 140.032544 116.633024) (xy 140.233024 116.6705) + (xy 140.233026 116.6705) (xy 140.436974 116.6705) (xy 140.436976 116.6705) (xy 140.637456 116.633024) + (xy 140.827637 116.559348) (xy 141.001041 116.451981) (xy 141.151764 116.314579) (xy 141.274673 116.151821) + (xy 141.365582 115.96925) (xy 141.421397 115.773083) (xy 141.440215 115.57) (xy 141.440215 115.569999) + (xy 141.769785 115.569999) (xy 141.769785 115.57) (xy 141.788602 115.773082) (xy 141.844417 115.969247) + (xy 141.844422 115.96926) (xy 141.935327 116.151821) (xy 142.058237 116.314581) (xy 142.208958 116.45198) + (xy 142.20896 116.451982) (xy 142.308141 116.513392) (xy 142.382363 116.559348) (xy 142.572544 116.633024) + (xy 142.773024 116.6705) (xy 142.773026 116.6705) (xy 142.976974 116.6705) (xy 142.976976 116.6705) + (xy 143.177456 116.633024) (xy 143.367637 116.559348) (xy 143.541041 116.451981) (xy 143.691764 116.314579) + (xy 143.814673 116.151821) (xy 143.905582 115.96925) (xy 143.961397 115.773083) (xy 143.980215 115.57) + (xy 143.980215 115.569999) (xy 144.309785 115.569999) (xy 144.309785 115.57) (xy 144.328602 115.773082) + (xy 144.384417 115.969247) (xy 144.384422 115.96926) (xy 144.475327 116.151821) (xy 144.598237 116.314581) + (xy 144.748958 116.45198) (xy 144.74896 116.451982) (xy 144.848141 116.513392) (xy 144.922363 116.559348) + (xy 145.112544 116.633024) (xy 145.313024 116.6705) (xy 145.313026 116.6705) (xy 145.516974 116.6705) + (xy 145.516976 116.6705) (xy 145.717456 116.633024) (xy 145.907637 116.559348) (xy 146.081041 116.451981) + (xy 146.231764 116.314579) (xy 146.354673 116.151821) (xy 146.445582 115.96925) (xy 146.501397 115.773083) + (xy 146.520215 115.57) (xy 146.520215 115.569999) (xy 146.849785 115.569999) (xy 146.849785 115.57) + (xy 146.868602 115.773082) (xy 146.924417 115.969247) (xy 146.924422 115.96926) (xy 147.015327 116.151821) + (xy 147.138237 116.314581) (xy 147.288958 116.45198) (xy 147.28896 116.451982) (xy 147.388141 116.513392) + (xy 147.462363 116.559348) (xy 147.652544 116.633024) (xy 147.853024 116.6705) (xy 147.853026 116.6705) + (xy 148.056974 116.6705) (xy 148.056976 116.6705) (xy 148.257456 116.633024) (xy 148.447637 116.559348) + (xy 148.621041 116.451981) (xy 148.771764 116.314579) (xy 148.894673 116.151821) (xy 148.985582 115.96925) + (xy 149.041397 115.773083) (xy 149.060215 115.57) (xy 149.054115 115.504174) (xy 149.041397 115.366917) + (xy 149.028048 115.32) (xy 148.985582 115.17075) (xy 148.985159 115.169901) (xy 148.935415 115.07) + (xy 148.894673 114.988179) (xy 148.771764 114.825421) (xy 148.771762 114.825418) (xy 148.621041 114.688019) + (xy 148.621039 114.688017) (xy 148.447642 114.580655) (xy 148.447635 114.580651) (xy 148.278566 114.515154) + (xy 148.257456 114.506976) (xy 148.056976 114.4695) (xy 147.853024 114.4695) (xy 147.652544 114.506976) + (xy 147.652541 114.506976) (xy 147.652541 114.506977) (xy 147.462364 114.580651) (xy 147.462357 114.580655) + (xy 147.28896 114.688017) (xy 147.288958 114.688019) (xy 147.138237 114.825418) (xy 147.015327 114.988178) + (xy 146.924422 115.170739) (xy 146.924417 115.170752) (xy 146.868602 115.366917) (xy 146.849785 115.569999) + (xy 146.520215 115.569999) (xy 146.514115 115.504174) (xy 146.501397 115.366917) (xy 146.488048 115.32) + (xy 146.445582 115.17075) (xy 146.445159 115.169901) (xy 146.395415 115.07) (xy 146.354673 114.988179) + (xy 146.231764 114.825421) (xy 146.231762 114.825418) (xy 146.081041 114.688019) (xy 146.081039 114.688017) + (xy 145.907642 114.580655) (xy 145.907635 114.580651) (xy 145.738566 114.515154) (xy 145.717456 114.506976) + (xy 145.516976 114.4695) (xy 145.313024 114.4695) (xy 145.112544 114.506976) (xy 145.112541 114.506976) + (xy 145.112541 114.506977) (xy 144.922364 114.580651) (xy 144.922357 114.580655) (xy 144.74896 114.688017) + (xy 144.748958 114.688019) (xy 144.598237 114.825418) (xy 144.475327 114.988178) (xy 144.384422 115.170739) + (xy 144.384417 115.170752) (xy 144.328602 115.366917) (xy 144.309785 115.569999) (xy 143.980215 115.569999) + (xy 143.974115 115.504174) (xy 143.961397 115.366917) (xy 143.948048 115.32) (xy 143.905582 115.17075) + (xy 143.905159 115.169901) (xy 143.855415 115.07) (xy 143.814673 114.988179) (xy 143.691764 114.825421) + (xy 143.691762 114.825418) (xy 143.541041 114.688019) (xy 143.541039 114.688017) (xy 143.367642 114.580655) + (xy 143.367635 114.580651) (xy 143.198566 114.515154) (xy 143.177456 114.506976) (xy 142.976976 114.4695) + (xy 142.773024 114.4695) (xy 142.572544 114.506976) (xy 142.572541 114.506976) (xy 142.572541 114.506977) + (xy 142.382364 114.580651) (xy 142.382357 114.580655) (xy 142.20896 114.688017) (xy 142.208958 114.688019) + (xy 142.058237 114.825418) (xy 141.935327 114.988178) (xy 141.844422 115.170739) (xy 141.844417 115.170752) + (xy 141.788602 115.366917) (xy 141.769785 115.569999) (xy 141.440215 115.569999) (xy 141.434115 115.504174) + (xy 141.421397 115.366917) (xy 141.408048 115.32) (xy 141.365582 115.17075) (xy 141.365159 115.169901) + (xy 141.315415 115.07) (xy 141.274673 114.988179) (xy 141.151764 114.825421) (xy 141.151762 114.825418) + (xy 141.001041 114.688019) (xy 141.001039 114.688017) (xy 140.827642 114.580655) (xy 140.827635 114.580651) + (xy 140.658566 114.515154) (xy 140.637456 114.506976) (xy 140.436976 114.4695) (xy 140.233024 114.4695) + (xy 140.032544 114.506976) (xy 140.032541 114.506976) (xy 140.032541 114.506977) (xy 139.842364 114.580651) + (xy 139.842357 114.580655) (xy 139.66896 114.688017) (xy 139.668958 114.688019) (xy 139.518237 114.825418) + (xy 139.395327 114.988178) (xy 139.304422 115.170739) (xy 139.304417 115.170752) (xy 139.248602 115.366917) + (xy 139.229785 115.569999) (xy 138.900215 115.569999) (xy 138.894115 115.504174) (xy 138.881397 115.366917) + (xy 138.868048 115.32) (xy 138.825582 115.17075) (xy 138.825159 115.169901) (xy 138.775415 115.07) + (xy 138.734673 114.988179) (xy 138.611764 114.825421) (xy 138.611762 114.825418) (xy 138.461041 114.688019) + (xy 138.461039 114.688017) (xy 138.287642 114.580655) (xy 138.287635 114.580651) (xy 138.118566 114.515154) + (xy 138.097456 114.506976) (xy 137.896976 114.4695) (xy 137.693024 114.4695) (xy 137.492544 114.506976) + (xy 137.492541 114.506976) (xy 137.492541 114.506977) (xy 137.302364 114.580651) (xy 137.302357 114.580655) + (xy 137.12896 114.688017) (xy 137.128958 114.688019) (xy 136.978237 114.825418) (xy 136.855327 114.988178) + (xy 136.768182 115.163188) (xy 136.720679 115.214425) (xy 136.653016 115.231846) (xy 136.586676 115.20992) + (xy 136.542721 115.155609) (xy 136.537407 115.140008) (xy 136.528434 115.106518) (xy 136.528429 115.106507) + (xy 136.4286 114.892422) (xy 136.428599 114.89242) (xy 136.293113 114.698926) (xy 136.293108 114.69892) + (xy 136.170665 114.576477) (xy 136.13718 114.515154) (xy 136.142164 114.445462) (xy 136.184036 114.389529) + (xy 136.215013 114.372614) (xy 136.347086 114.323354) (xy 136.347093 114.32335) (xy 136.462187 114.23719) + (xy 136.46219 114.237187) (xy 136.54835 114.122093) (xy 136.548354 114.122086) (xy 136.598596 113.987379) + (xy 136.598598 113.987372) (xy 136.604999 113.927844) (xy 136.605 113.927827) (xy 136.605 113.636312) + (xy 136.624685 113.569273) (xy 136.677489 113.523518) (xy 136.746647 113.513574) (xy 136.810203 113.542599) + (xy 136.84 113.581041) (xy 136.855325 113.611819) (xy 136.978237 113.774581) (xy 137.128958 113.91198) + (xy 137.12896 113.911982) (xy 137.228141 113.973392) (xy 137.302363 114.019348) (xy 137.492544 114.093024) + (xy 137.693024 114.1305) (xy 137.693026 114.1305) (xy 137.896974 114.1305) (xy 137.896976 114.1305) + (xy 138.097456 114.093024) (xy 138.287637 114.019348) (xy 138.461041 113.911981) (xy 138.611764 113.774579) + (xy 138.734673 113.611821) (xy 138.825582 113.42925) (xy 138.881397 113.233083) (xy 138.900215 113.03) + (xy 138.900215 113.029999) (xy 139.229785 113.029999) (xy 139.229785 113.03) (xy 139.248602 113.233082) + (xy 139.304417 113.429247) (xy 139.304422 113.42926) (xy 139.395327 113.611821) (xy 139.518237 113.774581) + (xy 139.668958 113.91198) (xy 139.66896 113.911982) (xy 139.768141 113.973392) (xy 139.842363 114.019348) + (xy 140.032544 114.093024) (xy 140.233024 114.1305) (xy 140.233026 114.1305) (xy 140.436974 114.1305) + (xy 140.436976 114.1305) (xy 140.637456 114.093024) (xy 140.827637 114.019348) (xy 141.001041 113.911981) + (xy 141.151764 113.774579) (xy 141.274673 113.611821) (xy 141.365582 113.42925) (xy 141.421397 113.233083) + (xy 141.440215 113.03) (xy 141.440215 113.029999) (xy 141.769785 113.029999) (xy 141.769785 113.03) + (xy 141.788602 113.233082) (xy 141.844417 113.429247) (xy 141.844422 113.42926) (xy 141.935327 113.611821) + (xy 142.058237 113.774581) (xy 142.208958 113.91198) (xy 142.20896 113.911982) (xy 142.308141 113.973392) + (xy 142.382363 114.019348) (xy 142.572544 114.093024) (xy 142.773024 114.1305) (xy 142.773026 114.1305) + (xy 142.976974 114.1305) (xy 142.976976 114.1305) (xy 143.177456 114.093024) (xy 143.367637 114.019348) + (xy 143.541041 113.911981) (xy 143.691764 113.774579) (xy 143.814673 113.611821) (xy 143.905582 113.42925) + (xy 143.961397 113.233083) (xy 143.980215 113.03) (xy 143.980215 113.029999) (xy 144.309785 113.029999) + (xy 144.309785 113.03) (xy 144.328602 113.233082) (xy 144.384417 113.429247) (xy 144.384422 113.42926) + (xy 144.475327 113.611821) (xy 144.598237 113.774581) (xy 144.748958 113.91198) (xy 144.74896 113.911982) + (xy 144.848141 113.973392) (xy 144.922363 114.019348) (xy 145.112544 114.093024) (xy 145.313024 114.1305) + (xy 145.313026 114.1305) (xy 145.516974 114.1305) (xy 145.516976 114.1305) (xy 145.717456 114.093024) + (xy 145.907637 114.019348) (xy 146.081041 113.911981) (xy 146.231764 113.774579) (xy 146.354673 113.611821) + (xy 146.445582 113.42925) (xy 146.501397 113.233083) (xy 146.520215 113.03) (xy 146.520215 113.029999) + (xy 146.849785 113.029999) (xy 146.849785 113.03) (xy 146.868602 113.233082) (xy 146.924417 113.429247) + (xy 146.924422 113.42926) (xy 147.015327 113.611821) (xy 147.138237 113.774581) (xy 147.288958 113.91198) + (xy 147.28896 113.911982) (xy 147.388141 113.973392) (xy 147.462363 114.019348) (xy 147.652544 114.093024) + (xy 147.853024 114.1305) (xy 147.853026 114.1305) (xy 148.056974 114.1305) (xy 148.056976 114.1305) + (xy 148.257456 114.093024) (xy 148.447637 114.019348) (xy 148.621041 113.911981) (xy 148.771764 113.774579) + (xy 148.894673 113.611821) (xy 148.985582 113.42925) (xy 149.041397 113.233083) (xy 149.060215 113.03) + (xy 149.054115 112.964174) (xy 149.041397 112.826917) (xy 149.028048 112.78) (xy 148.985582 112.63075) + (xy 148.985159 112.629901) (xy 148.906225 112.471379) (xy 148.894673 112.448179) (xy 148.771764 112.285421) + (xy 148.771762 112.285418) (xy 148.621041 112.148019) (xy 148.621039 112.148017) (xy 148.447642 112.040655) + (xy 148.447635 112.040651) (xy 148.352546 112.003814) (xy 148.257456 111.966976) (xy 148.056976 111.9295) + (xy 147.853024 111.9295) (xy 147.652544 111.966976) (xy 147.652541 111.966976) (xy 147.652541 111.966977) + (xy 147.462364 112.040651) (xy 147.462357 112.040655) (xy 147.28896 112.148017) (xy 147.288958 112.148019) + (xy 147.138237 112.285418) (xy 147.015327 112.448178) (xy 146.924422 112.630739) (xy 146.924417 112.630752) + (xy 146.868602 112.826917) (xy 146.849785 113.029999) (xy 146.520215 113.029999) (xy 146.514115 112.964174) + (xy 146.501397 112.826917) (xy 146.488048 112.78) (xy 146.445582 112.63075) (xy 146.445159 112.629901) + (xy 146.366225 112.471379) (xy 146.354673 112.448179) (xy 146.231764 112.285421) (xy 146.231762 112.285418) + (xy 146.081041 112.148019) (xy 146.081039 112.148017) (xy 145.907642 112.040655) (xy 145.907635 112.040651) + (xy 145.812546 112.003814) (xy 145.717456 111.966976) (xy 145.516976 111.9295) (xy 145.313024 111.9295) + (xy 145.112544 111.966976) (xy 145.112541 111.966976) (xy 145.112541 111.966977) (xy 144.922364 112.040651) + (xy 144.922357 112.040655) (xy 144.74896 112.148017) (xy 144.748958 112.148019) (xy 144.598237 112.285418) + (xy 144.475327 112.448178) (xy 144.384422 112.630739) (xy 144.384417 112.630752) (xy 144.328602 112.826917) + (xy 144.309785 113.029999) (xy 143.980215 113.029999) (xy 143.974115 112.964174) (xy 143.961397 112.826917) + (xy 143.948048 112.78) (xy 143.905582 112.63075) (xy 143.905159 112.629901) (xy 143.826225 112.471379) + (xy 143.814673 112.448179) (xy 143.691764 112.285421) (xy 143.691762 112.285418) (xy 143.541041 112.148019) + (xy 143.541039 112.148017) (xy 143.367642 112.040655) (xy 143.367635 112.040651) (xy 143.272546 112.003814) + (xy 143.177456 111.966976) (xy 142.976976 111.9295) (xy 142.773024 111.9295) (xy 142.572544 111.966976) + (xy 142.572541 111.966976) (xy 142.572541 111.966977) (xy 142.382364 112.040651) (xy 142.382357 112.040655) + (xy 142.20896 112.148017) (xy 142.208958 112.148019) (xy 142.058237 112.285418) (xy 141.935327 112.448178) + (xy 141.844422 112.630739) (xy 141.844417 112.630752) (xy 141.788602 112.826917) (xy 141.769785 113.029999) + (xy 141.440215 113.029999) (xy 141.434115 112.964174) (xy 141.421397 112.826917) (xy 141.408048 112.78) + (xy 141.365582 112.63075) (xy 141.365159 112.629901) (xy 141.286225 112.471379) (xy 141.274673 112.448179) + (xy 141.151764 112.285421) (xy 141.151762 112.285418) (xy 141.001041 112.148019) (xy 141.001039 112.148017) + (xy 140.827642 112.040655) (xy 140.827635 112.040651) (xy 140.732546 112.003814) (xy 140.637456 111.966976) + (xy 140.436976 111.9295) (xy 140.233024 111.9295) (xy 140.032544 111.966976) (xy 140.032541 111.966976) + (xy 140.032541 111.966977) (xy 139.842364 112.040651) (xy 139.842357 112.040655) (xy 139.66896 112.148017) + (xy 139.668958 112.148019) (xy 139.518237 112.285418) (xy 139.395327 112.448178) (xy 139.304422 112.630739) + (xy 139.304417 112.630752) (xy 139.248602 112.826917) (xy 139.229785 113.029999) (xy 138.900215 113.029999) + (xy 138.894115 112.964174) (xy 138.881397 112.826917) (xy 138.868048 112.78) (xy 138.825582 112.63075) + (xy 138.825159 112.629901) (xy 138.746225 112.471379) (xy 138.734673 112.448179) (xy 138.611764 112.285421) + (xy 138.611762 112.285418) (xy 138.461041 112.148019) (xy 138.461039 112.148017) (xy 138.287642 112.040655) + (xy 138.287635 112.040651) (xy 138.192546 112.003814) (xy 138.097456 111.966976) (xy 137.896976 111.9295) + (xy 137.693024 111.9295) (xy 137.492544 111.966976) (xy 137.492541 111.966976) (xy 137.492541 111.966977) + (xy 137.302364 112.040651) (xy 137.302357 112.040655) (xy 137.12896 112.148017) (xy 137.128958 112.148019) + (xy 136.978237 112.285418) (xy 136.855328 112.448176) (xy 136.84 112.47896) (xy 136.792496 112.530196) + (xy 136.724833 112.547617) (xy 136.658493 112.525691) (xy 136.614538 112.471379) (xy 136.605 112.423687) + (xy 136.605 112.132172) (xy 136.604999 112.132155) (xy 136.598598 112.072627) (xy 136.598596 112.07262) + (xy 136.548354 111.937913) (xy 136.54835 111.937906) (xy 136.46219 111.822812) (xy 136.462187 111.822809) + (xy 136.347093 111.736649) (xy 136.347086 111.736645) (xy 136.212379 111.686403) (xy 136.212372 111.686401) + (xy 136.152844 111.68) (xy 135.505 111.68) (xy 135.505 112.596988) (xy 135.447993 112.564075) (xy 135.320826 112.53) + (xy 135.189174 112.53) (xy 135.062007 112.564075) (xy 135.005 112.596988) (xy 135.005 111.68) (xy 134.357155 111.68) + (xy 134.297627 111.686401) (xy 134.29762 111.686403) (xy 134.162913 111.736645) (xy 134.162906 111.736649) + (xy 134.047812 111.822809) (xy 134.047809 111.822812) (xy 133.961649 111.937906) (xy 133.961645 111.937913) + (xy 133.911403 112.07262) (xy 133.911401 112.072627) (xy 133.905 112.132155) (xy 132.4805 112.132155) + (xy 132.4805 110.108999) (xy 134.19175 110.108999) (xy 134.19175 110.109) (xy 134.21067 110.252708) + (xy 134.210671 110.252712) (xy 134.266137 110.386622) (xy 134.266138 110.386624) (xy 134.266139 110.386625) + (xy 134.354379 110.501621) (xy 134.469375 110.589861) (xy 134.603291 110.64533) (xy 134.73028 110.662048) + (xy 134.746999 110.66425) (xy 134.747 110.66425) (xy 134.747001 110.66425) (xy 134.761977 110.662278) + (xy 134.890709 110.64533) (xy 135.024625 110.589861) (xy 135.139621 110.501621) (xy 135.227861 110.386625) + (xy 135.28333 110.252709) (xy 135.30225 110.109) (xy 135.28333 109.965291) (xy 135.227861 109.831375) + (xy 135.139621 109.716379) (xy 135.024625 109.628139) (xy 135.024624 109.628138) (xy 135.024622 109.628137) + (xy 134.890712 109.572671) (xy 134.89071 109.57267) (xy 134.890709 109.57267) (xy 134.818854 109.56321) + (xy 134.747001 109.55375) (xy 134.746999 109.55375) (xy 134.603291 109.57267) (xy 134.603287 109.572671) + (xy 134.469377 109.628137) (xy 134.354379 109.716379) (xy 134.266137 109.831377) (xy 134.210671 109.965287) + (xy 134.21067 109.965291) (xy 134.19175 110.108999) (xy 132.4805 110.108999) (xy 132.4805 109.346999) + (xy 141.30375 109.346999) (xy 141.30375 109.347) (xy 141.32267 109.490708) (xy 141.322671 109.490712) + (xy 141.378137 109.624622) (xy 141.378138 109.624624) (xy 141.378139 109.624625) (xy 141.466379 109.739621) + (xy 141.581375 109.827861) (xy 141.581376 109.827861) (xy 141.581377 109.827862) (xy 141.626013 109.84635) + (xy 141.715291 109.88333) (xy 141.84228 109.900048) (xy 141.858999 109.90225) (xy 141.859 109.90225) + (xy 141.859001 109.90225) (xy 141.873977 109.900278) (xy 142.002709 109.88333) (xy 142.071107 109.854999) + (xy 145.49475 109.854999) (xy 145.49475 109.855) (xy 145.51367 109.998708) (xy 145.513671 109.998712) + (xy 145.569137 110.132622) (xy 145.569138 110.132624) (xy 145.569139 110.132625) (xy 145.657379 110.247621) + (xy 145.772375 110.335861) (xy 145.906291 110.39133) (xy 146.03328 110.408048) (xy 146.049999 110.41025) + (xy 146.05 110.41025) (xy 146.050001 110.41025) (xy 146.064977 110.408278) (xy 146.193709 110.39133) + (xy 146.327625 110.335861) (xy 146.442621 110.247621) (xy 146.530861 110.132625) (xy 146.58633 109.998709) + (xy 146.60525 109.855) (xy 146.58633 109.711291) (xy 146.530861 109.577375) (xy 146.442621 109.462379) + (xy 146.327625 109.374139) (xy 146.327624 109.374138) (xy 146.327622 109.374137) (xy 146.193712 109.318671) + (xy 146.19371 109.31867) (xy 146.193709 109.31867) (xy 146.121854 109.30921) (xy 146.050001 109.29975) + (xy 146.049999 109.29975) (xy 145.906291 109.31867) (xy 145.906287 109.318671) (xy 145.772377 109.374137) + (xy 145.657379 109.462379) (xy 145.569137 109.577377) (xy 145.513671 109.711287) (xy 145.51367 109.711291) + (xy 145.49475 109.854999) (xy 142.071107 109.854999) (xy 142.136625 109.827861) (xy 142.251621 109.739621) + (xy 142.339861 109.624625) (xy 142.39533 109.490709) (xy 142.41425 109.347) (xy 142.39533 109.203291) + (xy 142.339861 109.069375) (xy 142.251621 108.954379) (xy 142.136625 108.866139) (xy 142.136624 108.866138) + (xy 142.136622 108.866137) (xy 142.002712 108.810671) (xy 142.00271 108.81067) (xy 142.002709 108.81067) + (xy 141.930854 108.80121) (xy 141.859001 108.79175) (xy 141.858999 108.79175) (xy 141.715291 108.81067) + (xy 141.715287 108.810671) (xy 141.581377 108.866137) (xy 141.466379 108.954379) (xy 141.378137 109.069377) + (xy 141.322671 109.203287) (xy 141.32267 109.203291) (xy 141.30375 109.346999) (xy 132.4805 109.346999) + (xy 132.4805 107.327999) (xy 144.732722 107.327999) (xy 144.732722 107.328) (xy 144.751642 107.471708) + (xy 144.751643 107.471712) (xy 144.807109 107.605622) (xy 144.80711 107.605624) (xy 144.807111 107.605625) + (xy 144.895351 107.720621) (xy 145.010347 107.808861) (xy 145.144263 107.86433) (xy 145.271252 107.881048) + (xy 145.287971 107.88325) (xy 145.287972 107.88325) (xy 145.287973 107.88325) (xy 145.302949 107.881278) + (xy 145.431681 107.86433) (xy 145.565597 107.808861) (xy 145.680593 107.720621) (xy 145.768833 107.605625) + (xy 145.824302 107.471709) (xy 145.843222 107.328) (xy 145.824302 107.184291) (xy 145.768833 107.050375) + (xy 145.680593 106.935379) (xy 145.565597 106.847139) (xy 145.565596 106.847138) (xy 145.565594 106.847137) + (xy 145.431684 106.791671) (xy 145.431682 106.79167) (xy 145.431681 106.79167) (xy 145.359826 106.78221) + (xy 145.287973 106.77275) (xy 145.287971 106.77275) (xy 145.144263 106.79167) (xy 145.144259 106.791671) + (xy 145.010349 106.847137) (xy 144.895351 106.935379) (xy 144.807109 107.050377) (xy 144.751643 107.184287) + (xy 144.751642 107.184291) (xy 144.732722 107.327999) (xy 132.4805 107.327999) (xy 132.4805 103.885999) + (xy 137.11275 103.885999) (xy 137.11275 103.886) (xy 137.13167 104.029708) (xy 137.131671 104.029712) + (xy 137.187137 104.163622) (xy 137.187138 104.163624) (xy 137.187139 104.163625) (xy 137.275379 104.278621) + (xy 137.390375 104.366861) (xy 137.524291 104.42233) (xy 137.65128 104.439048) (xy 137.667999 104.44125) + (xy 137.668 104.44125) (xy 137.668001 104.44125) (xy 137.682977 104.439278) (xy 137.811709 104.42233) + (xy 137.945625 104.366861) (xy 138.060621 104.278621) (xy 138.148861 104.163625) (xy 138.20433 104.029709) + (xy 138.22325 103.886) (xy 138.20433 103.742291) (xy 138.148861 103.608375) (xy 138.060621 103.493379) + (xy 137.945625 103.405139) (xy 137.945624 103.405138) (xy 137.945622 103.405137) (xy 137.811712 103.349671) + (xy 137.81171 103.34967) (xy 137.811709 103.34967) (xy 137.739854 103.34021) (xy 137.668001 103.33075) + (xy 137.667999 103.33075) (xy 137.524291 103.34967) (xy 137.524287 103.349671) (xy 137.390377 103.405137) + (xy 137.275379 103.493379) (xy 137.187137 103.608377) (xy 137.131671 103.742287) (xy 137.13167 103.742291) + (xy 137.11275 103.885999) (xy 132.4805 103.885999) (xy 132.4805 102.616) (xy 139.27175 102.616) + (xy 139.283967 102.708799) (xy 139.29067 102.759708) (xy 139.290671 102.759712) (xy 139.346137 102.893622) + (xy 139.346138 102.893624) (xy 139.346139 102.893625) (xy 139.434379 103.008621) (xy 139.549375 103.096861) + (xy 139.683291 103.15233) (xy 139.81028 103.169048) (xy 139.826999 103.17125) (xy 139.827 103.17125) + (xy 139.827001 103.17125) (xy 139.841977 103.169278) (xy 139.970709 103.15233) (xy 140.104625 103.096861) + (xy 140.219621 103.008621) (xy 140.307861 102.893625) (xy 140.36333 102.759709) (xy 140.38225 102.616) + (xy 143.46275 102.616) (xy 143.474967 102.708799) (xy 143.48167 102.759708) (xy 143.481671 102.759712) + (xy 143.537137 102.893622) (xy 143.537138 102.893624) (xy 143.537139 102.893625) (xy 143.625379 103.008621) + (xy 143.740375 103.096861) (xy 143.874291 103.15233) (xy 144.00128 103.169048) (xy 144.017999 103.17125) + (xy 144.018 103.17125) (xy 144.018001 103.17125) (xy 144.032977 103.169278) (xy 144.161709 103.15233) + (xy 144.295625 103.096861) (xy 144.410621 103.008621) (xy 144.427654 102.986423) (xy 148.338176 102.986423) + (xy 148.338176 102.986424) (xy 148.357096 103.130132) (xy 148.357097 103.130136) (xy 148.412563 103.264046) + (xy 148.412564 103.264048) (xy 148.412565 103.264049) (xy 148.500805 103.379045) (xy 148.615801 103.467285) + (xy 148.749717 103.522754) (xy 148.876706 103.539472) (xy 148.893425 103.541674) (xy 148.893426 103.541674) + (xy 148.893427 103.541674) (xy 148.908403 103.539702) (xy 149.037135 103.522754) (xy 149.171051 103.467285) + (xy 149.286047 103.379045) (xy 149.374287 103.264049) (xy 149.429756 103.130133) (xy 149.448676 102.986424) + (xy 149.429756 102.842715) (xy 149.374287 102.708799) (xy 149.286047 102.593803) (xy 149.171051 102.505563) + (xy 149.17105 102.505562) (xy 149.171048 102.505561) (xy 149.037138 102.450095) (xy 149.037136 102.450094) + (xy 149.037135 102.450094) (xy 148.96528 102.440634) (xy 148.893427 102.431174) (xy 148.893425 102.431174) + (xy 148.749717 102.450094) (xy 148.749713 102.450095) (xy 148.615803 102.505561) (xy 148.500805 102.593803) + (xy 148.412563 102.708801) (xy 148.357097 102.842711) (xy 148.357096 102.842715) (xy 148.338176 102.986423) + (xy 144.427654 102.986423) (xy 144.498861 102.893625) (xy 144.55433 102.759709) (xy 144.57325 102.616) + (xy 144.55433 102.472291) (xy 144.498861 102.338375) (xy 144.410621 102.223379) (xy 144.295625 102.135139) + (xy 144.295624 102.135138) (xy 144.295622 102.135137) (xy 144.161712 102.079671) (xy 144.16171 102.07967) + (xy 144.161709 102.07967) (xy 144.089854 102.07021) (xy 144.018001 102.06075) (xy 144.017999 102.06075) + (xy 143.874291 102.07967) (xy 143.874287 102.079671) (xy 143.740377 102.135137) (xy 143.625379 102.223379) + (xy 143.537137 102.338377) (xy 143.481671 102.472287) (xy 143.48167 102.472291) (xy 143.46275 102.616) + (xy 140.38225 102.616) (xy 140.36333 102.472291) (xy 140.307861 102.338375) (xy 140.219621 102.223379) + (xy 140.104625 102.135139) (xy 140.104624 102.135138) (xy 140.104622 102.135137) (xy 139.970712 102.079671) + (xy 139.97071 102.07967) (xy 139.970709 102.07967) (xy 139.898854 102.07021) (xy 139.827001 102.06075) + (xy 139.826999 102.06075) (xy 139.683291 102.07967) (xy 139.683287 102.079671) (xy 139.549377 102.135137) + (xy 139.434379 102.223379) (xy 139.346137 102.338377) (xy 139.290671 102.472287) (xy 139.29067 102.472291) + (xy 139.27175 102.616) (xy 132.4805 102.616) (xy 132.4805 100.583999) (xy 136.98575 100.583999) + (xy 136.98575 100.584) (xy 137.00467 100.727708) (xy 137.004671 100.727712) (xy 137.060137 100.861622) + (xy 137.060138 100.861624) (xy 137.060139 100.861625) (xy 137.148379 100.976621) (xy 137.263375 101.064861) + (xy 137.397291 101.12033) (xy 137.52428 101.137048) (xy 137.540999 101.13925) (xy 137.541 101.13925) + (xy 137.541001 101.13925) (xy 137.555977 101.137278) (xy 137.684709 101.12033) (xy 137.818625 101.064861) + (xy 137.933621 100.976621) (xy 138.021861 100.861625) (xy 138.07733 100.727709) (xy 138.09625 100.584) + (xy 138.07733 100.440291) (xy 138.021861 100.306375) (xy 137.942537 100.202999) (xy 141.17675 100.202999) + (xy 141.17675 100.203) (xy 141.19567 100.346708) (xy 141.195671 100.346712) (xy 141.248 100.473048) + (xy 141.255469 100.542518) (xy 141.248 100.567952) (xy 141.195671 100.694287) (xy 141.19567 100.69429) + (xy 141.19567 100.694291) (xy 141.17675 100.838) (xy 141.187249 100.91775) (xy 141.19567 100.981708) + (xy 141.195671 100.981712) (xy 141.251137 101.115622) (xy 141.251138 101.115624) (xy 141.251139 101.115625) + (xy 141.339379 101.230621) (xy 141.454375 101.318861) (xy 141.454376 101.318861) (xy 141.454377 101.318862) + (xy 141.499013 101.33735) (xy 141.588291 101.37433) (xy 141.71528 101.391048) (xy 141.731999 101.39325) + (xy 141.732 101.39325) (xy 141.732001 101.39325) (xy 141.746977 101.391278) (xy 141.875709 101.37433) + (xy 142.002049 101.321998) (xy 142.071517 101.31453) (xy 142.096945 101.321996) (xy 142.223291 101.37433) + (xy 142.35028 101.391048) (xy 142.366999 101.39325) (xy 142.367 101.39325) (xy 142.367001 101.39325) + (xy 142.381977 101.391278) (xy 142.510709 101.37433) (xy 142.644625 101.318861) (xy 142.759621 101.230621) + (xy 142.847861 101.115625) (xy 142.90333 100.981709) (xy 142.92225 100.838) (xy 142.90333 100.694291) + (xy 142.850998 100.56795) (xy 142.84353 100.498483) (xy 142.850999 100.473048) (xy 142.90333 100.346709) + (xy 142.913561 100.268999) (xy 146.00275 100.268999) (xy 146.00275 100.269) (xy 146.02167 100.412708) + (xy 146.021671 100.412712) (xy 146.077137 100.546622) (xy 146.077138 100.546624) (xy 146.077139 100.546625) + (xy 146.165379 100.661621) (xy 146.174711 100.668782) (xy 146.177113 100.670625) (xy 146.218316 100.727053) + (xy 146.22247 100.796799) (xy 146.188257 100.857719) (xy 146.177113 100.867375) (xy 146.165381 100.876377) + (xy 146.077137 100.991377) (xy 146.021671 101.125287) (xy 146.02167 101.125291) (xy 146.00275 101.268999) + (xy 146.00275 101.269) (xy 146.02167 101.412708) (xy 146.021671 101.412712) (xy 146.077138 101.546623) + (xy 146.077139 101.546625) (xy 146.132302 101.618515) (xy 146.157496 101.683684) (xy 146.143458 101.752129) + (xy 146.132302 101.769487) (xy 146.077139 101.841377) (xy 146.077138 101.841379) (xy 146.021671 101.97529) + (xy 146.02167 101.975294) (xy 146.00275 102.119002) (xy 146.00275 102.119003) (xy 146.02167 102.262711) + (xy 146.021671 102.262715) (xy 146.077137 102.396625) (xy 146.077138 102.396627) (xy 146.077139 102.396628) + (xy 146.165379 102.511624) (xy 146.280375 102.599864) (xy 146.414291 102.655333) (xy 146.54128 102.672051) + (xy 146.557999 102.674253) (xy 146.558 102.674253) (xy 146.558001 102.674253) (xy 146.572977 102.672281) + (xy 146.701709 102.655333) (xy 146.835625 102.599864) (xy 146.950621 102.511624) (xy 147.038861 102.396628) + (xy 147.09433 102.262712) (xy 147.11325 102.119003) (xy 147.09433 101.975294) (xy 147.038861 101.841378) + (xy 146.983696 101.769486) (xy 146.958503 101.704318) (xy 146.972541 101.635874) (xy 146.983696 101.618516) + (xy 147.038861 101.546625) (xy 147.069357 101.472999) (xy 148.362766 101.472999) (xy 148.362766 101.473) + (xy 148.381686 101.616708) (xy 148.381687 101.616712) (xy 148.437153 101.750622) (xy 148.437154 101.750624) + (xy 148.437155 101.750625) (xy 148.525395 101.865621) (xy 148.640391 101.953861) (xy 148.774307 102.00933) + (xy 148.901296 102.026048) (xy 148.918015 102.02825) (xy 148.918016 102.02825) (xy 148.918017 102.02825) + (xy 148.932993 102.026278) (xy 149.061725 102.00933) (xy 149.195641 101.953861) (xy 149.310637 101.865621) + (xy 149.398877 101.750625) (xy 149.454346 101.616709) (xy 149.473266 101.473) (xy 149.454346 101.329291) + (xy 149.398877 101.195375) (xy 149.310637 101.080379) (xy 149.195641 100.992139) (xy 149.19564 100.992138) + (xy 149.195638 100.992137) (xy 149.061728 100.936671) (xy 149.061726 100.93667) (xy 149.061725 100.93667) + (xy 148.98987 100.92721) (xy 148.918017 100.91775) (xy 148.918015 100.91775) (xy 148.774307 100.93667) + (xy 148.774303 100.936671) (xy 148.640393 100.992137) (xy 148.525395 101.080379) (xy 148.437153 101.195377) + (xy 148.381687 101.329287) (xy 148.381686 101.329291) (xy 148.362766 101.472999) (xy 147.069357 101.472999) + (xy 147.09433 101.412709) (xy 147.11325 101.269) (xy 147.09433 101.125291) (xy 147.05735 101.036013) + (xy 147.038862 100.991377) (xy 147.038861 100.991376) (xy 147.038861 100.991375) (xy 146.950621 100.876379) + (xy 146.938886 100.867374) (xy 146.897685 100.81095) (xy 146.893529 100.741204) (xy 146.92774 100.680283) + (xy 146.938876 100.670632) (xy 146.950621 100.661621) (xy 147.038861 100.546625) (xy 147.09433 100.412709) + (xy 147.11325 100.269) (xy 147.09433 100.125291) (xy 147.038861 99.991375) (xy 146.950621 99.876379) + (xy 146.835625 99.788139) (xy 146.835624 99.788138) (xy 146.835622 99.788137) (xy 146.701712 99.732671) + (xy 146.70171 99.73267) (xy 146.701709 99.73267) (xy 146.62172 99.722139) (xy 146.558001 99.71375) + (xy 146.557999 99.71375) (xy 146.414291 99.73267) (xy 146.414287 99.732671) (xy 146.280377 99.788137) + (xy 146.165379 99.876379) (xy 146.077137 99.991377) (xy 146.021671 100.125287) (xy 146.02167 100.125291) + (xy 146.00275 100.268999) (xy 142.913561 100.268999) (xy 142.92225 100.203) (xy 142.90333 100.059291) + (xy 142.847861 99.925375) (xy 142.759621 99.810379) (xy 142.644625 99.722139) (xy 142.644624 99.722138) + (xy 142.644622 99.722137) (xy 142.510712 99.666671) (xy 142.51071 99.66667) (xy 142.510709 99.66667) + (xy 142.438854 99.65721) (xy 142.367001 99.64775) (xy 142.366999 99.64775) (xy 142.223291 99.66667) + (xy 142.223287 99.666671) (xy 142.096952 99.719) (xy 142.027482 99.726469) (xy 142.002048 99.719) + (xy 141.875712 99.666671) (xy 141.87571 99.66667) (xy 141.875709 99.66667) (xy 141.803854 99.65721) + (xy 141.732001 99.64775) (xy 141.731999 99.64775) (xy 141.588291 99.66667) (xy 141.588287 99.666671) + (xy 141.454377 99.722137) (xy 141.339379 99.810379) (xy 141.251137 99.925377) (xy 141.195671 100.059287) + (xy 141.19567 100.059291) (xy 141.17675 100.202999) (xy 137.942537 100.202999) (xy 137.933621 100.191379) + (xy 137.818625 100.103139) (xy 137.818624 100.103138) (xy 137.818622 100.103137) (xy 137.684712 100.047671) + (xy 137.68471 100.04767) (xy 137.684709 100.04767) (xy 137.612854 100.03821) (xy 137.541001 100.02875) + (xy 137.540999 100.02875) (xy 137.397291 100.04767) (xy 137.397287 100.047671) (xy 137.263377 100.103137) + (xy 137.148379 100.191379) (xy 137.060137 100.306377) (xy 137.004671 100.440287) (xy 137.00467 100.440291) + (xy 136.98575 100.583999) (xy 132.4805 100.583999) (xy 132.4805 98.424999) (xy 139.27175 98.424999) + (xy 139.27175 98.425) (xy 139.29067 98.568708) (xy 139.290671 98.568712) (xy 139.346137 98.702622) + (xy 139.346138 98.702624) (xy 139.346139 98.702625) (xy 139.434379 98.817621) (xy 139.549375 98.905861) + (xy 139.683291 98.96133) (xy 139.81028 98.978048) (xy 139.826999 98.98025) (xy 139.827 98.98025) + (xy 139.827001 98.98025) (xy 139.841977 98.978278) (xy 139.970709 98.96133) (xy 140.104625 98.905861) + (xy 140.219621 98.817621) (xy 140.307861 98.702625) (xy 140.36333 98.568709) (xy 140.38225 98.425) + (xy 140.38225 98.424999) (xy 143.46275 98.424999) (xy 143.46275 98.425) (xy 143.48167 98.568708) + (xy 143.481671 98.568712) (xy 143.537137 98.702622) (xy 143.537138 98.702624) (xy 143.537139 98.702625) + (xy 143.625379 98.817621) (xy 143.740375 98.905861) (xy 143.874291 98.96133) (xy 144.00128 98.978048) + (xy 144.017999 98.98025) (xy 144.018 98.98025) (xy 144.018001 98.98025) (xy 144.032977 98.978278) + (xy 144.161709 98.96133) (xy 144.295625 98.905861) (xy 144.410621 98.817621) (xy 144.498861 98.702625) + (xy 144.55433 98.568709) (xy 144.57325 98.425) (xy 144.561665 98.337003) (xy 148.88816 98.337003) + (xy 148.88816 98.337004) (xy 148.90708 98.480712) (xy 148.907081 98.480716) (xy 148.962547 98.614626) + (xy 148.962548 98.614628) (xy 148.962549 98.614629) (xy 149.050789 98.729625) (xy 149.165785 98.817865) + (xy 149.299701 98.873334) (xy 149.42669 98.890052) (xy 149.443409 98.892254) (xy 149.44341 98.892254) + (xy 149.443411 98.892254) (xy 149.458387 98.890282) (xy 149.587119 98.873334) (xy 149.721035 98.817865) + (xy 149.836031 98.729625) (xy 149.924271 98.614629) (xy 149.97974 98.480713) (xy 149.99866 98.337004) + (xy 149.97974 98.193295) (xy 149.924271 98.059379) (xy 149.836031 97.944383) (xy 149.721035 97.856143) + (xy 149.721034 97.856142) (xy 149.721032 97.856141) (xy 149.587122 97.800675) (xy 149.58712 97.800674) + (xy 149.587119 97.800674) (xy 149.515264 97.791214) (xy 149.443411 97.781754) (xy 149.443409 97.781754) + (xy 149.299701 97.800674) (xy 149.299697 97.800675) (xy 149.165787 97.856141) (xy 149.050789 97.944383) + (xy 148.962547 98.059381) (xy 148.907081 98.193291) (xy 148.90708 98.193295) (xy 148.88816 98.337003) + (xy 144.561665 98.337003) (xy 144.55433 98.281291) (xy 144.498861 98.147375) (xy 144.410621 98.032379) + (xy 144.295625 97.944139) (xy 144.295624 97.944138) (xy 144.295622 97.944137) (xy 144.161712 97.888671) + (xy 144.16171 97.88867) (xy 144.161709 97.88867) (xy 144.089854 97.87921) (xy 144.018001 97.86975) + (xy 144.017999 97.86975) (xy 143.874291 97.88867) (xy 143.874287 97.888671) (xy 143.740377 97.944137) + (xy 143.625379 98.032379) (xy 143.537137 98.147377) (xy 143.481671 98.281287) (xy 143.48167 98.281291) + (xy 143.46275 98.424999) (xy 140.38225 98.424999) (xy 140.36333 98.281291) (xy 140.307861 98.147375) + (xy 140.219621 98.032379) (xy 140.104625 97.944139) (xy 140.104624 97.944138) (xy 140.104622 97.944137) + (xy 139.970712 97.888671) (xy 139.97071 97.88867) (xy 139.970709 97.88867) (xy 139.898854 97.87921) + (xy 139.827001 97.86975) (xy 139.826999 97.86975) (xy 139.683291 97.88867) (xy 139.683287 97.888671) + (xy 139.549377 97.944137) (xy 139.434379 98.032379) (xy 139.346137 98.147377) (xy 139.290671 98.281287) + (xy 139.29067 98.281291) (xy 139.27175 98.424999) (xy 132.4805 98.424999) (xy 132.4805 97.027996) + (xy 133.179435 97.027996) (xy 133.179435 97.028003) (xy 133.19963 97.207249) (xy 133.199631 97.207254) + (xy 133.259211 97.377523) (xy 133.355184 97.530262) (xy 133.482738 97.657816) (xy 133.635478 97.753789) + (xy 133.805745 97.813368) (xy 133.80575 97.813369) (xy 133.984996 97.833565) (xy 133.985 97.833565) + (xy 133.985004 97.833565) (xy 134.164249 97.813369) (xy 134.164252 97.813368) (xy 134.164255 97.813368) + (xy 134.334522 97.753789) (xy 134.487262 97.657816) (xy 134.614816 97.530262) (xy 134.710789 97.377522) + (xy 134.770368 97.207255) (xy 134.776256 97.155) (xy 136.49075 97.155) (xy 136.497629 97.207254) + (xy 136.50967 97.298708) (xy 136.509671 97.298712) (xy 136.565137 97.432622) (xy 136.565138 97.432624) + (xy 136.565139 97.432625) (xy 136.653379 97.547621) (xy 136.768375 97.635861) (xy 136.902291 97.69133) + (xy 137.02928 97.708048) (xy 137.045999 97.71025) (xy 137.046 97.71025) (xy 137.046001 97.71025) + (xy 137.060977 97.708278) (xy 137.189709 97.69133) (xy 137.323625 97.635861) (xy 137.438621 97.547621) + (xy 137.526861 97.432625) (xy 137.58233 97.298709) (xy 137.60125 97.155) (xy 137.58233 97.011291) + (xy 137.526861 96.877375) (xy 137.438621 96.762379) (xy 137.323625 96.674139) (xy 137.323624 96.674138) + (xy 137.323622 96.674137) (xy 137.189712 96.618671) (xy 137.18971 96.61867) (xy 137.189709 96.61867) + (xy 137.117854 96.60921) (xy 137.046001 96.59975) (xy 137.045999 96.59975) (xy 136.902291 96.61867) + (xy 136.902287 96.618671) (xy 136.768377 96.674137) (xy 136.653379 96.762379) (xy 136.565137 96.877377) + (xy 136.509671 97.011287) (xy 136.50967 97.011291) (xy 136.49075 97.155) (xy 134.776256 97.155) + (xy 134.790565 97.028) (xy 134.788682 97.011291) (xy 134.770369 96.84875) (xy 134.770368 96.848745) + (xy 134.710788 96.678476) (xy 134.614815 96.525737) (xy 134.487262 96.398184) (xy 134.334523 96.302211) + (xy 134.164254 96.242631) (xy 134.164249 96.24263) (xy 134.120083 96.237654) (xy 142.846327 96.237654) + (xy 142.846327 96.237655) (xy 142.865247 96.381363) (xy 142.865248 96.381367) (xy 142.920714 96.515277) + (xy 142.920715 96.515279) (xy 142.920716 96.51528) (xy 143.008956 96.630276) (xy 143.123952 96.718516) + (xy 143.257868 96.773985) (xy 143.384857 96.790703) (xy 143.401576 96.792905) (xy 143.401577 96.792905) + (xy 143.401578 96.792905) (xy 143.416554 96.790933) (xy 143.545286 96.773985) (xy 143.679202 96.718516) + (xy 143.794198 96.630276) (xy 143.882438 96.51528) (xy 143.937907 96.381364) (xy 143.956827 96.237655) + (xy 143.937907 96.093946) (xy 143.882438 95.96003) (xy 143.794198 95.845034) (xy 143.679202 95.756794) + (xy 143.679201 95.756793) (xy 143.679199 95.756792) (xy 143.545289 95.701326) (xy 143.545287 95.701325) + (xy 143.545286 95.701325) (xy 143.473431 95.691865) (xy 143.401578 95.682405) (xy 143.401576 95.682405) + (xy 143.257868 95.701325) (xy 143.257864 95.701326) (xy 143.123954 95.756792) (xy 143.008956 95.845034) + (xy 142.920714 95.960032) (xy 142.865248 96.093942) (xy 142.865247 96.093946) (xy 142.846327 96.237654) + (xy 134.120083 96.237654) (xy 133.985004 96.222435) (xy 133.984996 96.222435) (xy 133.80575 96.24263) + (xy 133.805745 96.242631) (xy 133.635476 96.302211) (xy 133.482737 96.398184) (xy 133.355184 96.525737) + (xy 133.259211 96.678476) (xy 133.199631 96.848745) (xy 133.19963 96.84875) (xy 133.179435 97.027996) + (xy 132.4805 97.027996) (xy 132.4805 95.529399) (xy 148.87295 95.529399) (xy 148.87295 95.5294) + (xy 148.89187 95.673108) (xy 148.891871 95.673112) (xy 148.947337 95.807022) (xy 148.947338 95.807024) + (xy 148.947339 95.807025) (xy 149.035579 95.922021) (xy 149.150575 96.010261) (xy 149.284491 96.06573) + (xy 149.41148 96.082448) (xy 149.428199 96.08465) (xy 149.4282 96.08465) (xy 149.428201 96.08465) + (xy 149.443177 96.082678) (xy 149.571909 96.06573) (xy 149.705825 96.010261) (xy 149.820821 95.922021) + (xy 149.909061 95.807025) (xy 149.96453 95.673109) (xy 149.98345 95.5294) (xy 149.96453 95.385691) + (xy 149.92006 95.278329) (xy 149.909062 95.251777) (xy 149.909061 95.251776) (xy 149.909061 95.251775) + (xy 149.820821 95.136779) (xy 149.705825 95.048539) (xy 149.705824 95.048538) (xy 149.705822 95.048537) + (xy 149.571912 94.993071) (xy 149.57191 94.99307) (xy 149.571909 94.99307) (xy 149.500054 94.98361) + (xy 149.428201 94.97415) (xy 149.428199 94.97415) (xy 149.284491 94.99307) (xy 149.284487 94.993071) + (xy 149.150577 95.048537) (xy 149.035579 95.136779) (xy 148.947337 95.251777) (xy 148.891871 95.385687) + (xy 148.89187 95.385691) (xy 148.87295 95.529399) (xy 132.4805 95.529399) (xy 132.4805 94.741999) + (xy 133.42975 94.741999) (xy 133.42975 94.742) (xy 133.44867 94.885708) (xy 133.448671 94.885712) + (xy 133.504137 95.019622) (xy 133.504138 95.019624) (xy 133.504139 95.019625) (xy 133.592379 95.134621) + (xy 133.707375 95.222861) (xy 133.841291 95.27833) (xy 133.96828 95.295048) (xy 133.984999 95.29725) + (xy 133.985 95.29725) (xy 133.985001 95.29725) (xy 133.999977 95.295278) (xy 134.128709 95.27833) + (xy 134.262625 95.222861) (xy 134.377621 95.134621) (xy 134.465861 95.019625) (xy 134.52133 94.885709) + (xy 134.54025 94.742) (xy 134.54025 94.741999) (xy 142.44675 94.741999) (xy 142.44675 94.742) (xy 142.46567 94.885708) + (xy 142.465671 94.885712) (xy 142.521137 95.019622) (xy 142.521138 95.019624) (xy 142.521139 95.019625) + (xy 142.609379 95.134621) (xy 142.724375 95.222861) (xy 142.858291 95.27833) (xy 142.98528 95.295048) + (xy 143.001999 95.29725) (xy 143.002 95.29725) (xy 143.002001 95.29725) (xy 143.016977 95.295278) + (xy 143.145709 95.27833) (xy 143.279625 95.222861) (xy 143.394621 95.134621) (xy 143.482861 95.019625) + (xy 143.53833 94.885709) (xy 143.55725 94.742) (xy 143.53833 94.598291) (xy 143.482861 94.464375) + (xy 143.394621 94.349379) (xy 143.279625 94.261139) (xy 143.279624 94.261138) (xy 143.279622 94.261137) + (xy 143.145712 94.205671) (xy 143.14571 94.20567) (xy 143.145709 94.20567) (xy 143.073854 94.19621) + (xy 143.002001 94.18675) (xy 143.001999 94.18675) (xy 142.858291 94.20567) (xy 142.858287 94.205671) + (xy 142.724377 94.261137) (xy 142.609379 94.349379) (xy 142.521137 94.464377) (xy 142.465671 94.598287) + (xy 142.46567 94.598291) (xy 142.44675 94.741999) (xy 134.54025 94.741999) (xy 134.52133 94.598291) + (xy 134.465861 94.464375) (xy 134.377621 94.349379) (xy 134.262625 94.261139) (xy 134.262624 94.261138) + (xy 134.262622 94.261137) (xy 134.128712 94.205671) (xy 134.12871 94.20567) (xy 134.128709 94.20567) + (xy 134.056854 94.19621) (xy 133.985001 94.18675) (xy 133.984999 94.18675) (xy 133.841291 94.20567) + (xy 133.841287 94.205671) (xy 133.707377 94.261137) (xy 133.592379 94.349379) (xy 133.504137 94.464377) + (xy 133.448671 94.598287) (xy 133.44867 94.598291) (xy 133.42975 94.741999) (xy 132.4805 94.741999) + (xy 132.4805 92.470999) (xy 137.58617 92.470999) (xy 137.58617 92.471) (xy 137.60509 92.614708) + (xy 137.605091 92.614712) (xy 137.660557 92.748622) (xy 137.660558 92.748624) (xy 137.660559 92.748625) + (xy 137.748799 92.863621) (xy 137.863795 92.951861) (xy 137.925203 92.977296) (xy 137.979605 93.021136) + (xy 138.000198 93.083006) (xy 138.000689 93.082942) (xy 138.001023 93.085485) (xy 138.001671 93.08743) + (xy 138.001732 93.090866) (xy 138.02067 93.234708) (xy 138.020671 93.234712) (xy 138.076137 93.368622) + (xy 138.076138 93.368624) (xy 138.076139 93.368625) (xy 138.164379 93.483621) (xy 138.279375 93.571861) + (xy 138.413291 93.62733) (xy 138.54028 93.644048) (xy 138.556999 93.64625) (xy 138.557 93.64625) + (xy 138.557001 93.64625) (xy 138.571977 93.644278) (xy 138.700709 93.62733) (xy 138.834625 93.571861) + (xy 138.949621 93.483621) (xy 139.037861 93.368625) (xy 139.09333 93.234709) (xy 139.11225 93.091) + (xy 139.09333 92.947291) (xy 139.037861 92.813375) (xy 138.949621 92.698379) (xy 138.834625 92.610139) + (xy 138.834623 92.610138) (xy 138.773217 92.584703) (xy 138.718814 92.540862) (xy 138.698222 92.478994) + (xy 138.697731 92.479059) (xy 138.697395 92.47651) (xy 138.696749 92.474568) (xy 138.696687 92.471134) + (xy 138.696669 92.471002) (xy 138.69667 92.471) (xy 138.67775 92.327291) (xy 138.622281 92.193375) + (xy 138.534041 92.078379) (xy 138.419045 91.990139) (xy 138.419044 91.990138) (xy 138.419042 91.990137) + (xy 138.285132 91.934671) (xy 138.28513 91.93467) (xy 138.285129 91.93467) (xy 138.180249 91.920862) + (xy 138.141421 91.91575) (xy 138.141419 91.91575) (xy 137.997711 91.93467) (xy 137.997707 91.934671) + (xy 137.863797 91.990137) (xy 137.748799 92.078379) (xy 137.660557 92.193377) (xy 137.605091 92.327287) + (xy 137.60509 92.327291) (xy 137.58617 92.470999) (xy 132.4805 92.470999) (xy 132.4805 91.439999) + (xy 146.76475 91.439999) (xy 146.76475 91.44) (xy 146.78367 91.583708) (xy 146.783671 91.583712) + (xy 146.839137 91.717622) (xy 146.839138 91.717624) (xy 146.839139 91.717625) (xy 146.927379 91.832621) + (xy 147.042375 91.920861) (xy 147.176291 91.97633) (xy 147.30328 91.993048) (xy 147.319999 91.99525) + (xy 147.32 91.99525) (xy 147.320001 91.99525) (xy 147.334977 91.993278) (xy 147.463709 91.97633) + (xy 147.597625 91.920861) (xy 147.712621 91.832621) (xy 147.800861 91.717625) (xy 147.85633 91.583709) + (xy 147.87525 91.44) (xy 147.85633 91.296291) (xy 147.800861 91.162375) (xy 147.712621 91.047379) + (xy 147.597625 90.959139) (xy 147.597624 90.959138) (xy 147.597622 90.959137) (xy 147.463712 90.903671) + (xy 147.46371 90.90367) (xy 147.463709 90.90367) (xy 147.391854 90.89421) (xy 147.320001 90.88475) + (xy 147.319999 90.88475) (xy 147.176291 90.90367) (xy 147.176287 90.903671) (xy 147.042377 90.959137) + (xy 146.927379 91.047379) (xy 146.839137 91.162377) (xy 146.783671 91.296287) (xy 146.78367 91.296291) + (xy 146.76475 91.439999) (xy 132.4805 91.439999) (xy 132.4805 90.169999) (xy 133.55675 90.169999) + (xy 133.55675 90.17) (xy 133.57567 90.313708) (xy 133.575671 90.313712) (xy 133.631137 90.447622) + (xy 133.631138 90.447624) (xy 133.631139 90.447625) (xy 133.719379 90.562621) (xy 133.834375 90.650861) + (xy 133.968291 90.70633) (xy 134.09528 90.723048) (xy 134.111999 90.72525) (xy 134.112 90.72525) + (xy 134.112001 90.72525) (xy 134.126977 90.723278) (xy 134.255709 90.70633) (xy 134.389625 90.650861) + (xy 134.504621 90.562621) (xy 134.592861 90.447625) (xy 134.64833 90.313709) (xy 134.66725 90.17) + (xy 134.64833 90.026291) (xy 134.592861 89.892375) (xy 134.504621 89.777379) (xy 134.389625 89.689139) + (xy 134.389624 89.689138) (xy 134.389622 89.689137) (xy 134.255712 89.633671) (xy 134.25571 89.63367) + (xy 134.255709 89.63367) (xy 134.183854 89.62421) (xy 134.112001 89.61475) (xy 134.111999 89.61475) + (xy 133.968291 89.63367) (xy 133.968287 89.633671) (xy 133.834377 89.689137) (xy 133.719379 89.777379) + (xy 133.631137 89.892377) (xy 133.575671 90.026287) (xy 133.57567 90.026291) (xy 133.55675 90.169999) + (xy 132.4805 90.169999) (xy 132.4805 89.280999) (xy 144.22475 89.280999) (xy 144.22475 89.281) (xy 144.24367 89.424708) + (xy 144.243671 89.424712) (xy 144.299137 89.558622) (xy 144.299138 89.558624) (xy 144.299139 89.558625) + (xy 144.387379 89.673621) (xy 144.502375 89.761861) (xy 144.636291 89.81733) (xy 144.76328 89.834048) + (xy 144.779999 89.83625) (xy 144.78 89.83625) (xy 144.780001 89.83625) (xy 144.794977 89.834278) + (xy 144.923709 89.81733) (xy 145.057625 89.761861) (xy 145.172621 89.673621) (xy 145.260861 89.558625) + (xy 145.31633 89.424709) (xy 145.33525 89.281) (xy 145.31633 89.137291) (xy 145.260861 89.003375) + (xy 145.172621 88.888379) (xy 145.057625 88.800139) (xy 145.057624 88.800138) (xy 145.057622 88.800137) + (xy 144.923712 88.744671) (xy 144.92371 88.74467) (xy 144.923709 88.74467) (xy 144.851854 88.73521) + (xy 144.780001 88.72575) (xy 144.779999 88.72575) (xy 144.636291 88.74467) (xy 144.636287 88.744671) + (xy 144.502377 88.800137) (xy 144.387379 88.888379) (xy 144.299137 89.003377) (xy 144.243671 89.137287) + (xy 144.24367 89.137291) (xy 144.22475 89.280999) (xy 132.4805 89.280999) (xy 132.4805 88.391999) + (xy 136.47775 88.391999) (xy 136.47775 88.392) (xy 136.49667 88.535708) (xy 136.496671 88.535712) + (xy 136.552137 88.669622) (xy 136.552138 88.669624) (xy 136.552139 88.669625) (xy 136.640379 88.784621) + (xy 136.755375 88.872861) (xy 136.889291 88.92833) (xy 137.01628 88.945048) (xy 137.032999 88.94725) + (xy 137.033 88.94725) (xy 137.033001 88.94725) (xy 137.047977 88.945278) (xy 137.176709 88.92833) + (xy 137.310625 88.872861) (xy 137.338514 88.85146) (xy 137.403683 88.826267) (xy 137.472127 88.840305) + (xy 137.489481 88.851457) (xy 137.517375 88.872861) (xy 137.651291 88.92833) (xy 137.77828 88.945048) + (xy 137.794999 88.94725) (xy 137.795 88.94725) (xy 137.795001 88.94725) (xy 137.809977 88.945278) + (xy 137.938709 88.92833) (xy 138.072625 88.872861) (xy 138.187621 88.784621) (xy 138.275861 88.669625) + (xy 138.33133 88.535709) (xy 138.35025 88.392) (xy 138.33133 88.248291) (xy 138.275861 88.114375) + (xy 138.187621 87.999379) (xy 138.072625 87.911139) (xy 138.072624 87.911138) (xy 138.072622 87.911137) + (xy 137.938712 87.855671) (xy 137.93871 87.85567) (xy 137.938709 87.85567) (xy 137.866854 87.84621) + (xy 137.795001 87.83675) (xy 137.794999 87.83675) (xy 137.651291 87.85567) (xy 137.651287 87.855671) + (xy 137.517375 87.911138) (xy 137.489485 87.932539) (xy 137.424316 87.957732) (xy 137.355871 87.943693) + (xy 137.338515 87.932539) (xy 137.323379 87.920925) (xy 137.310625 87.911139) (xy 137.310623 87.911138) + (xy 137.310625 87.911138) (xy 137.176712 87.855671) (xy 137.17671 87.85567) (xy 137.176709 87.85567) + (xy 137.104854 87.84621) (xy 137.033001 87.83675) (xy 137.032999 87.83675) (xy 136.889291 87.85567) + (xy 136.889287 87.855671) (xy 136.755377 87.911137) (xy 136.640379 87.999379) (xy 136.552137 88.114377) + (xy 136.496671 88.248287) (xy 136.49667 88.248291) (xy 136.47775 88.391999) (xy 132.4805 88.391999) + (xy 132.4805 87.375999) (xy 139.77975 87.375999) (xy 139.77975 87.376) (xy 139.79867 87.519708) + (xy 139.798671 87.519712) (xy 139.854137 87.653622) (xy 139.854138 87.653624) (xy 139.854139 87.653625) + (xy 139.942379 87.768621) (xy 140.057375 87.856861) (xy 140.191291 87.91233) (xy 140.191304 87.912331) + (xy 140.197778 87.914067) (xy 140.257439 87.950431) (xy 140.28797 88.013277) (xy 140.288626 88.017657) + (xy 140.30667 88.154707) (xy 140.306671 88.154712) (xy 140.362137 88.288622) (xy 140.362138 88.288624) + (xy 140.362139 88.288625) (xy 140.450379 88.403621) (xy 140.565375 88.491861) (xy 140.699291 88.54733) + (xy 140.82628 88.564048) (xy 140.842999 88.56625) (xy 140.843 88.56625) (xy 140.843001 88.56625) + (xy 140.857977 88.564278) (xy 140.986709 88.54733) (xy 141.120625 88.491861) (xy 141.235621 88.403621) + (xy 141.323861 88.288625) (xy 141.37933 88.154709) (xy 141.39825 88.011) (xy 141.37933 87.867291) + (xy 141.323861 87.733375) (xy 141.235621 87.618379) (xy 141.120625 87.530139) (xy 141.120624 87.530138) + (xy 141.120622 87.530137) (xy 140.986709 87.47467) (xy 140.980216 87.47293) (xy 140.920556 87.436564) + (xy 140.890028 87.373716) (xy 140.889373 87.369341) (xy 140.87975 87.29625) (xy 140.87133 87.232291) + (xy 140.815861 87.098375) (xy 140.727621 86.983379) (xy 140.612625 86.895139) (xy 140.612624 86.895138) + (xy 140.612622 86.895137) (xy 140.478712 86.839671) (xy 140.47871 86.83967) (xy 140.478709 86.83967) + (xy 140.406854 86.83021) (xy 140.335001 86.82075) (xy 140.334999 86.82075) (xy 140.191291 86.83967) + (xy 140.191287 86.839671) (xy 140.057377 86.895137) (xy 139.942379 86.983379) (xy 139.854137 87.098377) + (xy 139.798671 87.232287) (xy 139.79867 87.232291) (xy 139.77975 87.375999) (xy 132.4805 87.375999) + (xy 132.4805 86.588999) (xy 136.475117 86.588999) (xy 136.475117 86.589) (xy 136.494037 86.732708) + (xy 136.494038 86.732712) (xy 136.549504 86.866622) (xy 136.549505 86.866624) (xy 136.549506 86.866625) + (xy 136.637746 86.981621) (xy 136.752742 87.069861) (xy 136.886658 87.12533) (xy 137.013647 87.142048) + (xy 137.030366 87.14425) (xy 137.030367 87.14425) (xy 137.030368 87.14425) (xy 137.045344 87.142278) + (xy 137.174076 87.12533) (xy 137.307992 87.069861) (xy 137.422988 86.981621) (xy 137.511228 86.866625) + (xy 137.566697 86.732709) (xy 137.585617 86.589) (xy 137.585617 86.588999) (xy 137.999117 86.588999) + (xy 137.999117 86.589) (xy 138.018037 86.732708) (xy 138.018038 86.732712) (xy 138.073504 86.866622) + (xy 138.073505 86.866624) (xy 138.073506 86.866625) (xy 138.161746 86.981621) (xy 138.276742 87.069861) + (xy 138.410658 87.12533) (xy 138.537647 87.142048) (xy 138.554366 87.14425) (xy 138.554367 87.14425) + (xy 138.554368 87.14425) (xy 138.569344 87.142278) (xy 138.698076 87.12533) (xy 138.831992 87.069861) + (xy 138.946988 86.981621) (xy 139.035228 86.866625) (xy 139.087263 86.741) (xy 146.76475 86.741) + (xy 146.775249 86.82075) (xy 146.78367 86.884708) (xy 146.783671 86.884712) (xy 146.839137 87.018622) + (xy 146.839138 87.018624) (xy 146.839139 87.018625) (xy 146.927379 87.133621) (xy 147.042375 87.221861) + (xy 147.042376 87.221861) (xy 147.042377 87.221862) (xy 147.067558 87.232292) (xy 147.176291 87.27733) + (xy 147.30328 87.294048) (xy 147.319999 87.29625) (xy 147.32 87.29625) (xy 147.320001 87.29625) + (xy 147.334977 87.294278) (xy 147.463709 87.27733) (xy 147.590049 87.224998) (xy 147.659517 87.21753) + (xy 147.684945 87.224996) (xy 147.811291 87.27733) (xy 147.93828 87.294048) (xy 147.954999 87.29625) + (xy 147.955 87.29625) (xy 147.955001 87.29625) (xy 147.969977 87.294278) (xy 148.098709 87.27733) + (xy 148.232625 87.221861) (xy 148.347621 87.133621) (xy 148.435861 87.018625) (xy 148.49133 86.884709) + (xy 148.51025 86.741) (xy 148.509158 86.732709) (xy 148.508048 86.72428) (xy 148.49133 86.597291) + (xy 148.435861 86.463375) (xy 148.347621 86.348379) (xy 148.232625 86.260139) (xy 148.232624 86.260138) + (xy 148.232622 86.260137) (xy 148.098712 86.204671) (xy 148.09871 86.20467) (xy 148.098709 86.20467) + (xy 148.026854 86.19521) (xy 147.955001 86.18575) (xy 147.954999 86.18575) (xy 147.811291 86.20467) + (xy 147.811287 86.204671) (xy 147.684952 86.257) (xy 147.615482 86.264469) (xy 147.590048 86.257) + (xy 147.463712 86.204671) (xy 147.46371 86.20467) (xy 147.463709 86.20467) (xy 147.391854 86.19521) + (xy 147.320001 86.18575) (xy 147.319999 86.18575) (xy 147.176291 86.20467) (xy 147.176287 86.204671) + (xy 147.042377 86.260137) (xy 146.927379 86.348379) (xy 146.839137 86.463377) (xy 146.783671 86.597287) + (xy 146.78367 86.597291) (xy 146.765842 86.732709) (xy 146.76475 86.741) (xy 139.087263 86.741) + (xy 139.090697 86.732709) (xy 139.109617 86.589) (xy 139.090697 86.445291) (xy 139.035228 86.311375) + (xy 138.946988 86.196379) (xy 138.831992 86.108139) (xy 138.831991 86.108138) (xy 138.831989 86.108137) + (xy 138.698079 86.052671) (xy 138.698077 86.05267) (xy 138.698076 86.05267) (xy 138.626221 86.04321) + (xy 138.554368 86.03375) (xy 138.554366 86.03375) (xy 138.410658 86.05267) (xy 138.410654 86.052671) + (xy 138.276744 86.108137) (xy 138.161746 86.196379) (xy 138.073504 86.311377) (xy 138.018038 86.445287) + (xy 138.018037 86.445291) (xy 137.999117 86.588999) (xy 137.585617 86.588999) (xy 137.566697 86.445291) + (xy 137.511228 86.311375) (xy 137.422988 86.196379) (xy 137.307992 86.108139) (xy 137.307991 86.108138) + (xy 137.307989 86.108137) (xy 137.174079 86.052671) (xy 137.174077 86.05267) (xy 137.174076 86.05267) + (xy 137.102221 86.04321) (xy 137.030368 86.03375) (xy 137.030366 86.03375) (xy 136.886658 86.05267) + (xy 136.886654 86.052671) (xy 136.752744 86.108137) (xy 136.637746 86.196379) (xy 136.549504 86.311377) + (xy 136.494038 86.445287) (xy 136.494037 86.445291) (xy 136.475117 86.588999) (xy 132.4805 86.588999) + (xy 132.4805 82.569999) (xy 135.954357 82.569999) (xy 135.954357 82.57) (xy 135.974884 82.791535) + (xy 135.974885 82.791537) (xy 136.035769 83.005523) (xy 136.035775 83.005538) (xy 136.134938 83.204683) + (xy 136.134943 83.204691) (xy 136.26902 83.382238) (xy 136.433437 83.532123) (xy 136.433439 83.532125) + (xy 136.622595 83.649245) (xy 136.622596 83.649245) (xy 136.622599 83.649247) (xy 136.83006 83.729618) + (xy 137.048757 83.7705) (xy 137.048759 83.7705) (xy 137.271241 83.7705) (xy 137.271243 83.7705) + (xy 137.48994 83.729618) (xy 137.697401 83.649247) (xy 137.886562 83.532124) (xy 138.050981 83.382236) + (xy 138.185058 83.204689) (xy 138.284229 83.005528) (xy 138.310734 82.912371) (xy 138.348013 82.853278) + (xy 138.411323 82.823721) (xy 138.480562 82.833083) (xy 138.533749 82.878393) (xy 138.549266 82.912372) + (xy 138.575769 83.005523) (xy 138.575775 83.005538) (xy 138.674938 83.204683) (xy 138.674943 83.204691) + (xy 138.80902 83.382238) (xy 138.973437 83.532123) (xy 138.973439 83.532125) (xy 139.162595 83.649245) + (xy 139.162596 83.649245) (xy 139.162599 83.649247) (xy 139.37006 83.729618) (xy 139.588757 83.7705) + (xy 139.588759 83.7705) (xy 139.811241 83.7705) (xy 139.811243 83.7705) (xy 140.02994 83.729618) + (xy 140.237401 83.649247) (xy 140.426562 83.532124) (xy 140.590981 83.382236) (xy 140.725058 83.204689) + (xy 140.824229 83.005528) (xy 140.850734 82.912371) (xy 140.888013 82.853278) (xy 140.951323 82.823721) + (xy 141.020562 82.833083) (xy 141.073749 82.878393) (xy 141.089266 82.912372) (xy 141.115769 83.005523) + (xy 141.115775 83.005538) (xy 141.214938 83.204683) (xy 141.214943 83.204691) (xy 141.34902 83.382238) + (xy 141.513437 83.532123) (xy 141.513439 83.532125) (xy 141.702595 83.649245) (xy 141.702596 83.649245) + (xy 141.702599 83.649247) (xy 141.91006 83.729618) (xy 142.128757 83.7705) (xy 142.128759 83.7705) + (xy 142.351241 83.7705) (xy 142.351243 83.7705) (xy 142.56994 83.729618) (xy 142.777401 83.649247) + (xy 142.966562 83.532124) (xy 143.130981 83.382236) (xy 143.265058 83.204689) (xy 143.364229 83.005528) + (xy 143.390734 82.912371) (xy 143.428013 82.853278) (xy 143.491323 82.823721) (xy 143.560562 82.833083) + (xy 143.613749 82.878393) (xy 143.629266 82.912372) (xy 143.655769 83.005523) (xy 143.655775 83.005538) + (xy 143.754938 83.204683) (xy 143.754943 83.204691) (xy 143.88902 83.382238) (xy 144.053437 83.532123) + (xy 144.053439 83.532125) (xy 144.242595 83.649245) (xy 144.242596 83.649245) (xy 144.242599 83.649247) + (xy 144.45006 83.729618) (xy 144.668757 83.7705) (xy 144.668759 83.7705) (xy 144.891241 83.7705) + (xy 144.891243 83.7705) (xy 145.10994 83.729618) (xy 145.317401 83.649247) (xy 145.506562 83.532124) + (xy 145.670981 83.382236) (xy 145.805058 83.204689) (xy 145.904229 83.005528) (xy 145.965115 82.791536) + (xy 145.985643 82.57) (xy 145.965115 82.348464) (xy 145.904229 82.134472) (xy 145.904224 82.134461) + (xy 145.805061 81.935316) (xy 145.805056 81.935308) (xy 145.670979 81.757761) (xy 145.506562 81.607876) + (xy 145.50656 81.607874) (xy 145.317404 81.490754) (xy 145.317398 81.490751) (xy 145.264159 81.470127) + (xy 145.208758 81.427554) (xy 145.185167 81.361787) (xy 145.200878 81.293707) (xy 145.250902 81.244928) + (xy 145.308953 81.2305) (xy 147.024676 81.2305) (xy 147.024677 81.230499) (xy 147.09774 81.215966) + (xy 147.180601 81.160601) (xy 147.235966 81.07774) (xy 147.2505 81.004674) (xy 147.2505 79.055326) + (xy 147.2505 79.055323) (xy 147.250499 79.055321) (xy 147.235967 78.982264) (xy 147.235966 78.98226) + (xy 147.180601 78.899399) (xy 147.09774 78.844034) (xy 147.097739 78.844033) (xy 147.097735 78.844032) + (xy 147.024677 78.8295) (xy 147.024674 78.8295) (xy 145.075326 78.8295) (xy 145.075323 78.8295) + (xy 145.002264 78.844032) (xy 145.00226 78.844033) (xy 144.919399 78.899399) (xy 144.864033 78.98226) + (xy 144.864032 78.982264) (xy 144.8495 79.055321) (xy 144.8495 79.499578) (xy 144.829815 79.566617) + (xy 144.777011 79.612372) (xy 144.707853 79.622316) (xy 144.644297 79.593291) (xy 144.6145 79.55485) + (xy 144.535061 79.395316) (xy 144.535056 79.395308) (xy 144.400979 79.217761) (xy 144.236562 79.067876) + (xy 144.23656 79.067874) (xy 144.047404 78.950754) (xy 144.047398 78.950752) (xy 143.83994 78.870382) + (xy 143.621243 78.8295) (xy 143.398757 78.8295) (xy 143.18006 78.870382) (xy 143.105159 78.899399) + (xy 142.972601 78.950752) (xy 142.972595 78.950754) (xy 142.783439 79.067874) (xy 142.783437 79.067876) + (xy 142.61902 79.217761) (xy 142.484943 79.395308) (xy 142.484938 79.395316) (xy 142.385775 79.594461) + (xy 142.385769 79.594476) (xy 142.359266 79.687627) (xy 142.321987 79.746721) (xy 142.258677 79.776278) + (xy 142.189438 79.766916) (xy 142.136251 79.721606) (xy 142.120734 79.687627) (xy 142.09423 79.594476) + (xy 142.094229 79.594472) (xy 142.080359 79.566617) (xy 141.995061 79.395316) (xy 141.995056 79.395308) + (xy 141.860979 79.217761) (xy 141.696562 79.067876) (xy 141.69656 79.067874) (xy 141.507404 78.950754) + (xy 141.507398 78.950752) (xy 141.29994 78.870382) (xy 141.081243 78.8295) (xy 140.858757 78.8295) + (xy 140.64006 78.870382) (xy 140.565159 78.899399) (xy 140.432601 78.950752) (xy 140.432595 78.950754) + (xy 140.243439 79.067874) (xy 140.243437 79.067876) (xy 140.07902 79.217761) (xy 139.944943 79.395308) + (xy 139.944938 79.395316) (xy 139.845775 79.594461) (xy 139.845769 79.594476) (xy 139.819266 79.687627) + (xy 139.781987 79.746721) (xy 139.718677 79.776278) (xy 139.649438 79.766916) (xy 139.596251 79.721606) + (xy 139.580734 79.687627) (xy 139.55423 79.594476) (xy 139.554229 79.594472) (xy 139.540359 79.566617) + (xy 139.455061 79.395316) (xy 139.455056 79.395308) (xy 139.320979 79.217761) (xy 139.156562 79.067876) + (xy 139.15656 79.067874) (xy 138.967404 78.950754) (xy 138.967398 78.950752) (xy 138.75994 78.870382) + (xy 138.541243 78.8295) (xy 138.318757 78.8295) (xy 138.10006 78.870382) (xy 138.025159 78.899399) + (xy 137.892601 78.950752) (xy 137.892595 78.950754) (xy 137.703439 79.067874) (xy 137.703437 79.067876) + (xy 137.53902 79.217761) (xy 137.404943 79.395308) (xy 137.404938 79.395316) (xy 137.305775 79.594461) + (xy 137.305769 79.594476) (xy 137.244885 79.808462) (xy 137.244884 79.808464) (xy 137.224357 80.029999) + (xy 137.224357 80.03) (xy 137.244884 80.251535) (xy 137.244885 80.251537) (xy 137.305769 80.465523) + (xy 137.305775 80.465538) (xy 137.404938 80.664683) (xy 137.404943 80.664691) (xy 137.53902 80.842238) + (xy 137.703437 80.992123) (xy 137.703439 80.992125) (xy 137.892595 81.109245) (xy 137.892596 81.109245) + (xy 137.892599 81.109247) (xy 138.10006 81.189618) (xy 138.318757 81.2305) (xy 138.318759 81.2305) + (xy 138.541241 81.2305) (xy 138.541243 81.2305) (xy 138.75994 81.189618) (xy 138.967401 81.109247) + (xy 139.156562 80.992124) (xy 139.320981 80.842236) (xy 139.455058 80.664689) (xy 139.554229 80.465528) + (xy 139.580734 80.372371) (xy 139.618013 80.313278) (xy 139.681323 80.283721) (xy 139.750562 80.293083) + (xy 139.803749 80.338393) (xy 139.819266 80.372372) (xy 139.845769 80.465523) (xy 139.845775 80.465538) + (xy 139.944938 80.664683) (xy 139.944943 80.664691) (xy 140.07902 80.842238) (xy 140.243437 80.992123) + (xy 140.243439 80.992125) (xy 140.432595 81.109245) (xy 140.432596 81.109245) (xy 140.432599 81.109247) + (xy 140.64006 81.189618) (xy 140.858757 81.2305) (xy 140.858759 81.2305) (xy 141.081241 81.2305) + (xy 141.081243 81.2305) (xy 141.29994 81.189618) (xy 141.507401 81.109247) (xy 141.696562 80.992124) + (xy 141.860981 80.842236) (xy 141.995058 80.664689) (xy 142.094229 80.465528) (xy 142.120734 80.372371) + (xy 142.158013 80.313278) (xy 142.221323 80.283721) (xy 142.290562 80.293083) (xy 142.343749 80.338393) + (xy 142.359266 80.372372) (xy 142.385769 80.465523) (xy 142.385775 80.465538) (xy 142.484938 80.664683) + (xy 142.484943 80.664691) (xy 142.61902 80.842238) (xy 142.783437 80.992123) (xy 142.783439 80.992125) + (xy 142.972595 81.109245) (xy 142.972596 81.109245) (xy 142.972599 81.109247) (xy 143.18006 81.189618) + (xy 143.398757 81.2305) (xy 143.398759 81.2305) (xy 143.621241 81.2305) (xy 143.621243 81.2305) + (xy 143.83994 81.189618) (xy 144.047401 81.109247) (xy 144.236562 80.992124) (xy 144.400981 80.842236) + (xy 144.535058 80.664689) (xy 144.6145 80.505149) (xy 144.662003 80.453912) (xy 144.729666 80.436491) + (xy 144.796006 80.458417) (xy 144.839961 80.512728) (xy 144.8495 80.560421) (xy 144.8495 81.004678) + (xy 144.864032 81.077735) (xy 144.864033 81.077739) (xy 144.864034 81.07774) (xy 144.919399 81.160601) + (xy 144.9194 81.160601) (xy 144.926185 81.170756) (xy 144.92435 81.171981) (xy 144.950102 81.219142) + (xy 144.945118 81.288834) (xy 144.903246 81.344767) (xy 144.837782 81.369184) (xy 144.828936 81.3695) + (xy 144.668757 81.3695) (xy 144.45006 81.410382) (xy 144.318864 81.461207) (xy 144.242601 81.490752) + (xy 144.242595 81.490754) (xy 144.053439 81.607874) (xy 144.053437 81.607876) (xy 143.88902 81.757761) + (xy 143.754943 81.935308) (xy 143.754938 81.935316) (xy 143.655775 82.134461) (xy 143.655769 82.134476) + (xy 143.629266 82.227627) (xy 143.591987 82.286721) (xy 143.528677 82.316278) (xy 143.459438 82.306916) + (xy 143.406251 82.261606) (xy 143.390734 82.227627) (xy 143.36423 82.134476) (xy 143.364229 82.134472) + (xy 143.364224 82.134461) (xy 143.265061 81.935316) (xy 143.265056 81.935308) (xy 143.130979 81.757761) + (xy 142.966562 81.607876) (xy 142.96656 81.607874) (xy 142.777404 81.490754) (xy 142.777398 81.490752) + (xy 142.56994 81.410382) (xy 142.351243 81.3695) (xy 142.128757 81.3695) (xy 141.91006 81.410382) + (xy 141.778864 81.461207) (xy 141.702601 81.490752) (xy 141.702595 81.490754) (xy 141.513439 81.607874) + (xy 141.513437 81.607876) (xy 141.34902 81.757761) (xy 141.214943 81.935308) (xy 141.214938 81.935316) + (xy 141.115775 82.134461) (xy 141.115769 82.134476) (xy 141.089266 82.227627) (xy 141.051987 82.286721) + (xy 140.988677 82.316278) (xy 140.919438 82.306916) (xy 140.866251 82.261606) (xy 140.850734 82.227627) + (xy 140.82423 82.134476) (xy 140.824229 82.134472) (xy 140.824224 82.134461) (xy 140.725061 81.935316) + (xy 140.725056 81.935308) (xy 140.590979 81.757761) (xy 140.426562 81.607876) (xy 140.42656 81.607874) + (xy 140.237404 81.490754) (xy 140.237398 81.490752) (xy 140.02994 81.410382) (xy 139.811243 81.3695) + (xy 139.588757 81.3695) (xy 139.37006 81.410382) (xy 139.238864 81.461207) (xy 139.162601 81.490752) + (xy 139.162595 81.490754) (xy 138.973439 81.607874) (xy 138.973437 81.607876) (xy 138.80902 81.757761) + (xy 138.674943 81.935308) (xy 138.674938 81.935316) (xy 138.575775 82.134461) (xy 138.575769 82.134476) + (xy 138.549266 82.227627) (xy 138.511987 82.286721) (xy 138.448677 82.316278) (xy 138.379438 82.306916) + (xy 138.326251 82.261606) (xy 138.310734 82.227627) (xy 138.28423 82.134476) (xy 138.284229 82.134472) + (xy 138.284224 82.134461) (xy 138.185061 81.935316) (xy 138.185056 81.935308) (xy 138.050979 81.757761) + (xy 137.886562 81.607876) (xy 137.88656 81.607874) (xy 137.697404 81.490754) (xy 137.697398 81.490752) + (xy 137.48994 81.410382) (xy 137.271243 81.3695) (xy 137.048757 81.3695) (xy 136.83006 81.410382) + (xy 136.698864 81.461207) (xy 136.622601 81.490752) (xy 136.622595 81.490754) (xy 136.433439 81.607874) + (xy 136.433437 81.607876) (xy 136.26902 81.757761) (xy 136.134943 81.935308) (xy 136.134938 81.935316) + (xy 136.035775 82.134461) (xy 136.035769 82.134476) (xy 135.974885 82.348462) (xy 135.974884 82.348464) + (xy 135.954357 82.569999) (xy 132.4805 82.569999) (xy 132.4805 77.883465) (xy 132.500185 77.816426) + (xy 132.552989 77.770671) (xy 132.622147 77.760727) (xy 132.685703 77.789752) (xy 132.698786 77.80293) + (xy 132.730241 77.839759) (xy 132.841589 77.934859) (xy 132.915823 77.998261) (xy 132.915826 77.998262) + (xy 133.12391 78.125777) (xy 133.349381 78.219169) (xy 133.349378 78.219169) (xy 133.349384 78.21917) + (xy 133.349388 78.219172) (xy 133.586698 78.276146) (xy 133.83 78.295294) (xy 134.073302 78.276146) + (xy 134.310612 78.219172) (xy 134.536089 78.125777) (xy 134.744179 77.998259) (xy 134.929759 77.839759) + (xy 135.088259 77.654179) (xy 135.215777 77.446089) (xy 135.309172 77.220612) (xy 135.366146 76.983302) + (xy 135.385294 76.74) (xy 135.366146 76.496698) (xy 135.309172 76.259388) (xy 135.215777 76.033911) + (xy 135.215777 76.03391) (xy 135.088262 75.825826) (xy 135.088261 75.825823) (xy 135.052453 75.783897) + (xy 134.929759 75.640241) (xy 134.804672 75.533407) (xy 134.744176 75.481738) (xy 134.744173 75.481737) + (xy 134.536089 75.354222) (xy 134.310618 75.26083) (xy 134.310621 75.26083) (xy 134.204992 75.23547) + (xy 134.073302 75.203854) (xy 134.0733 75.203853) (xy 134.073297 75.203853) (xy 133.83 75.184706) + (xy 133.586702 75.203853) (xy 133.34938 75.26083) (xy 133.12391 75.354222) (xy 132.915826 75.481737) + (xy 132.915823 75.481738) (xy 132.730241 75.640241) (xy 132.69879 75.677066) (xy 132.640283 75.715259) + (xy 132.570416 75.715758) (xy 132.511369 75.678404) (xy 132.481891 75.615057) (xy 132.4805 75.596534) + (xy 132.4805 70.309993) (xy 133.830048 70.309993) (xy 133.830048 70.310006) (xy 133.849822 70.54865) + (xy 133.90861 70.780799) (xy 134.004804 71.0001) (xy 134.096299 71.140144) (xy 134.841944 70.394501) + (xy 134.865326 70.481764) (xy 134.923911 70.583236) (xy 135.006764 70.666089) (xy 135.108236 70.724674) + (xy 135.195497 70.748055) (xy 134.449135 71.494417) (xy 134.449135 71.494418) (xy 134.48695 71.52385) + (xy 134.486953 71.523852) (xy 134.697554 71.637823) (xy 134.697568 71.637829) (xy 134.924056 71.715584) + (xy 135.089995 71.743274) (xy 135.152881 71.773724) (xy 135.18932 71.833339) (xy 135.187745 71.903191) + (xy 135.148655 71.961103) (xy 135.117039 71.980144) (xy 135.058714 72.004302) (xy 135.058705 72.004307) + (xy 134.845787 72.127236) (xy 134.650745 72.276897) (xy 134.650738 72.276903) (xy 134.476903 72.450738) + (xy 134.476897 72.450745) (xy 134.327236 72.645787) (xy 134.204307 72.858705) (xy 134.204303 72.858714) + (xy 134.110225 73.085837) (xy 134.110222 73.085847) (xy 134.046592 73.32332) (xy 134.04659 73.323331) + (xy 134.0145 73.567065) (xy 134.0145 73.812934) (xy 134.041227 74.015939) (xy 134.046591 74.056677) + (xy 134.046592 74.056679) (xy 134.110222 74.294152) (xy 134.110225 74.294162) (xy 134.204303 74.521285) + (xy 134.204306 74.521292) (xy 134.327233 74.734208) (xy 134.327235 74.734211) (xy 134.327236 74.734212) + (xy 134.476897 74.929254) (xy 134.476903 74.929261) (xy 134.650738 75.103096) (xy 134.650744 75.103101) + (xy 134.845792 75.252767) (xy 135.058708 75.375694) (xy 135.285847 75.469778) (xy 135.523323 75.533409) + (xy 135.767073 75.5655) (xy 135.76708 75.5655) (xy 136.01292 75.5655) (xy 136.012927 75.5655) (xy 136.256677 75.533409) + (xy 136.494153 75.469778) (xy 136.721292 75.375694) (xy 136.934208 75.252767) (xy 137.129256 75.103101) + (xy 137.303101 74.929256) (xy 137.452767 74.734208) (xy 137.575694 74.521292) (xy 137.669778 74.294153) + (xy 137.733409 74.056677) (xy 137.7655 73.812927) (xy 137.7655 73.567073) (xy 137.765499 73.567065) + (xy 145.4445 73.567065) (xy 145.4445 73.812934) (xy 145.471227 74.015939) (xy 145.476591 74.056677) + (xy 145.476592 74.056679) (xy 145.540222 74.294152) (xy 145.540225 74.294162) (xy 145.634303 74.521285) + (xy 145.634306 74.521292) (xy 145.757233 74.734208) (xy 145.757235 74.734211) (xy 145.757236 74.734212) + (xy 145.906897 74.929254) (xy 145.906903 74.929261) (xy 146.080738 75.103096) (xy 146.080744 75.103101) + (xy 146.275792 75.252767) (xy 146.488708 75.375694) (xy 146.715847 75.469778) (xy 146.953323 75.533409) + (xy 147.197073 75.5655) (xy 147.19708 75.5655) (xy 147.44292 75.5655) (xy 147.442927 75.5655) (xy 147.686677 75.533409) + (xy 147.924153 75.469778) (xy 148.151292 75.375694) (xy 148.364208 75.252767) (xy 148.559256 75.103101) + (xy 148.733101 74.929256) (xy 148.882767 74.734208) (xy 149.005694 74.521292) (xy 149.099778 74.294153) + (xy 149.163409 74.056677) (xy 149.1955 73.812927) (xy 149.1955 73.567073) (xy 149.163409 73.323323) + (xy 149.099778 73.085847) (xy 149.005694 72.858708) (xy 148.882767 72.645792) (xy 148.733101 72.450744) + (xy 148.733096 72.450738) (xy 148.559261 72.276903) (xy 148.559254 72.276897) (xy 148.364212 72.127236) + (xy 148.364211 72.127235) (xy 148.364208 72.127233) (xy 148.151292 72.004306) (xy 148.151285 72.004303) + (xy 147.924162 71.910225) (xy 147.924155 71.910223) (xy 147.924153 71.910222) (xy 147.686677 71.846591) + (xy 147.645939 71.841227) (xy 147.442934 71.8145) (xy 147.442927 71.8145) (xy 147.197073 71.8145) + (xy 147.197065 71.8145) (xy 146.965059 71.845045) (xy 146.953323 71.846591) (xy 146.742087 71.903191) + (xy 146.715847 71.910222) (xy 146.715837 71.910225) (xy 146.488714 72.004303) (xy 146.488705 72.004307) + (xy 146.275787 72.127236) (xy 146.080745 72.276897) (xy 146.080738 72.276903) (xy 145.906903 72.450738) + (xy 145.906897 72.450745) (xy 145.757236 72.645787) (xy 145.634307 72.858705) (xy 145.634303 72.858714) + (xy 145.540225 73.085837) (xy 145.540222 73.085847) (xy 145.476592 73.32332) (xy 145.47659 73.323331) + (xy 145.4445 73.567065) (xy 137.765499 73.567065) (xy 137.733409 73.323323) (xy 137.669778 73.085847) + (xy 137.575694 72.858708) (xy 137.452767 72.645792) (xy 137.303101 72.450744) (xy 137.303096 72.450738) + (xy 137.129261 72.276903) (xy 137.129254 72.276897) (xy 136.934212 72.127236) (xy 136.934211 72.127235) + (xy 136.934208 72.127233) (xy 136.721292 72.004306) (xy 136.721285 72.004303) (xy 136.494162 71.910225) + (xy 136.494155 71.910223) (xy 136.494153 71.910222) (xy 136.256677 71.846591) (xy 136.088227 71.824413) + (xy 136.008902 71.81397) (xy 136.009159 71.812014) (xy 135.950997 71.790721) (xy 135.908788 71.735041) + (xy 135.903383 71.665381) (xy 135.936496 71.603857) (xy 135.965689 71.581951) (xy 136.073045 71.523852) + (xy 136.07305 71.523849) (xy 136.110863 71.494418) (xy 136.110863 71.494417) (xy 135.364503 70.748055) + (xy 135.451764 70.724674) (xy 135.553236 70.666089) (xy 135.636089 70.583236) (xy 135.694674 70.481764) + (xy 135.718055 70.394502) (xy 136.463698 71.140145) (xy 136.555194 71.000102) (xy 136.651389 70.780799) + (xy 136.710177 70.54865) (xy 136.729952 70.310006) (xy 136.729952 70.309999) (xy 144.189378 70.309999) + (xy 144.189378 70.31) (xy 144.20982 70.530609) (xy 144.20982 70.530611) (xy 144.209821 70.530614) + (xy 144.270453 70.743715) (xy 144.270454 70.743718) (xy 144.369207 70.94204) (xy 144.369212 70.942048) + (xy 144.502731 71.118856) (xy 144.643585 71.24726) (xy 144.666464 71.268117) (xy 144.854837 71.384753) + (xy 145.061434 71.464789) (xy 145.279221 71.5055) (xy 145.279223 71.5055) (xy 145.500777 71.5055) + (xy 145.500779 71.5055) (xy 145.718566 71.464789) (xy 145.925163 71.384753) (xy 146.113536 71.268117) + (xy 146.27727 71.118854) (xy 146.410789 70.942046) (xy 146.509547 70.743715) (xy 146.570179 70.530614) + (xy 146.590622 70.31) (xy 146.570179 70.089386) (xy 146.509547 69.876285) (xy 146.491081 69.8392) + (xy 146.410792 69.677959) (xy 146.410787 69.677951) (xy 146.277268 69.501143) (xy 146.113537 69.351884) + (xy 146.113536 69.351883) (xy 145.966431 69.260799) (xy 145.925164 69.235247) (xy 145.925162 69.235246) + (xy 145.745285 69.165562) (xy 145.718566 69.155211) (xy 145.500779 69.1145) (xy 145.279221 69.1145) + (xy 145.061434 69.155211) (xy 145.061431 69.155211) (xy 145.061431 69.155212) (xy 144.854837 69.235246) + (xy 144.854835 69.235247) (xy 144.666462 69.351884) (xy 144.502731 69.501143) (xy 144.369212 69.677951) + (xy 144.369207 69.677959) (xy 144.270454 69.876281) (xy 144.20982 70.08939) (xy 144.189378 70.309999) + (xy 136.729952 70.309999) (xy 136.729952 70.309993) (xy 136.710177 70.071349) (xy 136.651389 69.8392) + (xy 136.555196 69.619902) (xy 136.463698 69.479853) (xy 135.718055 70.225496) (xy 135.694674 70.138236) + (xy 135.636089 70.036764) (xy 135.553236 69.953911) (xy 135.451764 69.895326) (xy 135.364502 69.871944) + (xy 136.110863 69.125581) (xy 136.073044 69.096146) (xy 135.862445 68.982176) (xy 135.862431 68.98217) + (xy 135.635944 68.904416) (xy 135.399735 68.865) (xy 135.160265 68.865) (xy 134.924055 68.904416) + (xy 134.697568 68.98217) (xy 134.697559 68.982173) (xy 134.486946 69.096152) (xy 134.449135 69.12558) + (xy 134.449135 69.125582) (xy 135.195498 69.871944) (xy 135.108236 69.895326) (xy 135.006764 69.953911) + (xy 134.923911 70.036764) (xy 134.865326 70.138236) (xy 134.841944 70.225498) (xy 134.096299 69.479853) + (xy 134.004804 69.619899) (xy 133.90861 69.8392) (xy 133.849822 70.071349) (xy 133.830048 70.309993) + (xy 132.4805 70.309993) (xy 132.4805 68.789999) (xy 136.619378 68.789999) (xy 136.619378 68.79) + (xy 136.63982 69.010609) (xy 136.63982 69.010611) (xy 136.639821 69.010614) (xy 136.700453 69.223715) + (xy 136.700454 69.223718) (xy 136.799207 69.42204) (xy 136.799212 69.422048) (xy 136.932731 69.598856) + (xy 137.019504 69.677959) (xy 137.096464 69.748117) (xy 137.239936 69.836951) (xy 137.279778 69.861621) + (xy 137.284837 69.864753) (xy 137.491434 69.944789) (xy 137.709221 69.9855) (xy 137.709223 69.9855) + (xy 137.930777 69.9855) (xy 137.930779 69.9855) (xy 138.148566 69.944789) (xy 138.355163 69.864753) + (xy 138.543536 69.748117) (xy 138.684391 69.61971) (xy 138.707268 69.598856) (xy 138.729919 69.568862) + (xy 138.840789 69.422046) (xy 138.939547 69.223715) (xy 139.000179 69.010614) (xy 139.005687 68.951173) + (xy 139.031471 68.886239) (xy 139.088271 68.84555) (xy 139.158052 68.84203) (xy 139.204643 68.86424) + (xy 139.233313 68.886239) (xy 139.295375 68.933861) (xy 139.429291 68.98933) (xy 139.549135 69.005108) + (xy 139.572999 69.00825) (xy 139.573 69.00825) (xy 139.573001 69.00825) (xy 139.596865 69.005108) + (xy 139.716709 68.98933) (xy 139.850625 68.933861) (xy 139.850629 68.933858) (xy 139.856801 68.929123) + (xy 139.92197 68.90393) (xy 139.932285 68.9035) (xy 139.975715 68.9035) (xy 140.042754 68.923185) + (xy 140.051199 68.929123) (xy 140.05737 68.933858) (xy 140.057373 68.933859) (xy 140.057375 68.933861) + (xy 140.191291 68.98933) (xy 140.311138 69.005108) (xy 140.375034 69.033374) (xy 140.413505 69.091699) + (xy 140.417891 69.111861) (xy 140.43367 69.231707) (xy 140.433671 69.231712) (xy 140.489137 69.365622) + (xy 140.489138 69.365624) (xy 140.489139 69.365625) (xy 140.577379 69.480621) (xy 140.692375 69.568861) + (xy 140.826291 69.62433) (xy 140.95328 69.641048) (xy 140.969999 69.64325) (xy 140.97 69.64325) + (xy 140.970001 69.64325) (xy 140.984977 69.641278) (xy 141.113709 69.62433) (xy 141.247625 69.568861) + (xy 141.362621 69.480621) (xy 141.450861 69.365625) (xy 141.50633 69.231709) (xy 141.506331 69.2317) + (xy 141.508067 69.225224) (xy 141.544429 69.165562) (xy 141.607275 69.13503) (xy 141.611633 69.134376) + (xy 141.748709 69.11633) (xy 141.882625 69.060861) (xy 141.997621 68.972621) (xy 142.085861 68.857625) + (xy 142.14133 68.723709) (xy 142.16025 68.58) (xy 142.14133 68.436291) (xy 142.085861 68.302375) + (xy 142.08586 68.302374) (xy 142.08586 68.302373) (xy 142.03185 68.231987) (xy 142.006655 68.166818) + (xy 142.020693 68.098373) (xy 142.069507 68.048383) (xy 142.130225 68.0325) (xy 142.3305 68.0325) + (xy 142.397539 68.052185) (xy 142.443294 68.104989) (xy 142.4545 68.1565) (xy 142.4545 68.640006) + (xy 142.453439 68.656191) (xy 142.44675 68.707) (xy 142.457676 68.789993) (xy 142.46567 68.850708) + (xy 142.465671 68.850712) (xy 142.521138 68.984624) (xy 142.542539 69.012515) (xy 142.567732 69.077684) + (xy 142.553693 69.146129) (xy 142.542539 69.163485) (xy 142.521138 69.191375) (xy 142.465671 69.325287) + (xy 142.46567 69.325291) (xy 142.44675 69.468999) (xy 142.44675 69.469) (xy 142.46567 69.612708) + (xy 142.465671 69.612712) (xy 142.521137 69.746622) (xy 142.521138 69.746624) (xy 142.521139 69.746625) + (xy 142.609379 69.861621) (xy 142.724375 69.949861) (xy 142.724376 69.949861) (xy 142.724377 69.949862) + (xy 142.740273 69.956446) (xy 142.858291 70.00533) (xy 142.98528 70.022048) (xy 143.001999 70.02425) + (xy 143.002 70.02425) (xy 143.002001 70.02425) (xy 143.016977 70.022278) (xy 143.145709 70.00533) + (xy 143.279625 69.949861) (xy 143.394621 69.861621) (xy 143.482861 69.746625) (xy 143.53833 69.612709) + (xy 143.55725 69.469) (xy 143.53833 69.325291) (xy 143.496258 69.223718) (xy 143.482862 69.191377) + (xy 143.482861 69.191376) (xy 143.482861 69.191375) (xy 143.46146 69.163485) (xy 143.436267 69.098317) + (xy 143.450305 69.029873) (xy 143.46146 69.012515) (xy 143.482861 68.984625) (xy 143.53833 68.850709) + (xy 143.546324 68.789993) (xy 146.480048 68.789993) (xy 146.480048 68.790006) (xy 146.499822 69.02865) + (xy 146.55861 69.260799) (xy 146.654804 69.4801) (xy 146.746299 69.620144) (xy 147.491944 68.874501) + (xy 147.515326 68.961764) (xy 147.573911 69.063236) (xy 147.656764 69.146089) (xy 147.758236 69.204674) + (xy 147.845497 69.228055) (xy 147.099135 69.974417) (xy 147.099135 69.974418) (xy 147.13695 70.00385) + (xy 147.136953 70.003852) (xy 147.347554 70.117823) (xy 147.347568 70.117829) (xy 147.574055 70.195583) + (xy 147.810265 70.235) (xy 148.049735 70.235) (xy 148.285944 70.195583) (xy 148.512431 70.117829) + (xy 148.512445 70.117823) (xy 148.723045 70.003853) (xy 148.72305 70.003849) (xy 148.760863 69.974418) + (xy 148.760863 69.974417) (xy 148.014503 69.228055) (xy 148.101764 69.204674) (xy 148.203236 69.146089) + (xy 148.286089 69.063236) (xy 148.344674 68.961764) (xy 148.368055 68.874502) (xy 149.113698 69.620145) + (xy 149.205194 69.480102) (xy 149.301389 69.260799) (xy 149.360177 69.02865) (xy 149.379952 68.790006) + (xy 149.379952 68.789993) (xy 149.360177 68.551349) (xy 149.301389 68.3192) (xy 149.205196 68.099902) + (xy 149.113698 67.959853) (xy 148.368055 68.705496) (xy 148.344674 68.618236) (xy 148.286089 68.516764) + (xy 148.203236 68.433911) (xy 148.101764 68.375326) (xy 148.014502 68.351944) (xy 148.760863 67.605581) + (xy 148.723044 67.576146) (xy 148.512445 67.462176) (xy 148.512431 67.46217) (xy 148.285944 67.384416) + (xy 148.049735 67.345) (xy 147.810265 67.345) (xy 147.574055 67.384416) (xy 147.347568 67.46217) + (xy 147.347559 67.462173) (xy 147.136946 67.576152) (xy 147.099135 67.60558) (xy 147.099135 67.605582) + (xy 147.845498 68.351944) (xy 147.758236 68.375326) (xy 147.656764 68.433911) (xy 147.573911 68.516764) + (xy 147.515326 68.618236) (xy 147.491944 68.705498) (xy 146.746299 67.959853) (xy 146.654804 68.099899) + (xy 146.55861 68.3192) (xy 146.499822 68.551349) (xy 146.480048 68.789993) (xy 143.546324 68.789993) + (xy 143.55725 68.707) (xy 143.53833 68.563291) (xy 143.482861 68.429375) (xy 143.394621 68.314379) + (xy 143.394618 68.314377) (xy 143.394614 68.314371) (xy 143.391814 68.311571) (xy 143.390207 68.308628) + (xy 143.389673 68.307932) (xy 143.389781 68.307848) (xy 143.358332 68.250246) (xy 143.3555 68.223895) + (xy 143.3555 66.357323) (xy 143.355499 66.357321) (xy 143.340967 66.284264) (xy 143.340966 66.28426) + (xy 143.285601 66.201399) (xy 143.20274 66.146034) (xy 143.202739 66.146033) (xy 143.202735 66.146032) + (xy 143.129677 66.1315) (xy 143.129674 66.1315) (xy 142.680326 66.1315) (xy 142.60419 66.146644) + (xy 142.55581 66.146644) (xy 142.479674 66.1315) (xy 142.030326 66.1315) (xy 141.95419 66.146644) + (xy 141.90581 66.146644) (xy 141.829674 66.1315) (xy 141.380326 66.1315) (xy 141.30419 66.146644) + (xy 141.25581 66.146644) (xy 141.179674 66.1315) (xy 140.730326 66.1315) (xy 140.65419 66.146644) + (xy 140.60581 66.146644) (xy 140.529674 66.1315) (xy 140.080326 66.1315) (xy 140.080323 66.1315) + (xy 140.007264 66.146032) (xy 140.00726 66.146033) (xy 139.924399 66.201399) (xy 139.869033 66.28426) + (xy 139.869032 66.284264) (xy 139.8545 66.357321) (xy 139.8545 67.793415) (xy 139.834815 67.860454) + (xy 139.782011 67.906209) (xy 139.714315 67.916354) (xy 139.573002 67.89775) (xy 139.572999 67.89775) + (xy 139.429291 67.91667) (xy 139.429287 67.916671) (xy 139.295377 67.972137) (xy 139.180379 68.060379) + (xy 139.092136 68.175378) (xy 139.086133 68.189872) (xy 139.042291 68.244274) (xy 138.975996 68.266336) + (xy 138.908297 68.249055) (xy 138.860688 68.197916) (xy 138.860574 68.197686) (xy 138.840794 68.157963) + (xy 138.840787 68.157951) (xy 138.707268 67.981143) (xy 138.543537 67.831884) (xy 138.543536 67.831883) + (xy 138.4718 67.787465) (xy 138.355164 67.715247) (xy 138.355162 67.715246) (xy 138.204099 67.656724) + (xy 138.148566 67.635211) (xy 137.930779 67.5945) (xy 137.709221 67.5945) (xy 137.491434 67.635211) + (xy 137.491431 67.635211) (xy 137.491431 67.635212) (xy 137.284837 67.715246) (xy 137.284835 67.715247) + (xy 137.096462 67.831884) (xy 136.932731 67.981143) (xy 136.799212 68.157951) (xy 136.799207 68.157959) + (xy 136.700454 68.356281) (xy 136.63982 68.56939) (xy 136.619378 68.789999) (xy 132.4805 68.789999) + (xy 132.4805 63.2625) (xy 132.500185 63.195461) (xy 132.552989 63.149706) (xy 132.6045 63.1385) + (xy 136.3305 63.1385) + ) + ) + ) +) diff --git a/kicad/trashernet_soc.kicad_pro b/kicad/trashernet_soc.kicad_pro new file mode 100644 index 0000000..6db42b8 --- /dev/null +++ b/kicad/trashernet_soc.kicad_pro @@ -0,0 +1,654 @@ +{ + "board": { + "3dviewports": [], + "design_settings": { + "defaults": { + "apply_defaults_to_fp_fields": false, + "apply_defaults_to_fp_shapes": false, + "apply_defaults_to_fp_text": false, + "board_outline_line_width": 0.05, + "copper_line_width": 0.2, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.05, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.1, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.1, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 0.762, + "height": 1.524, + "width": 1.524 + }, + "silk_line_width": 0.1, + "silk_text_italic": false, + "silk_text_size_h": 1.0, + "silk_text_size_v": 1.0, + "silk_text_thickness": 0.1, + "silk_text_upright": false, + "zones": { + "min_clearance": 0.25 + } + }, + "diff_pair_dimensions": [ + { + "gap": 0.0, + "via_gap": 0.0, + "width": 0.0 + } + ], + "drc_exclusions": [], + "meta": { + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "connection_width": "warning", + "copper_edge_clearance": "error", + "copper_sliver": "warning", + "courtyards_overlap": "error", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "footprint": "error", + "footprint_symbol_mismatch": "warning", + "footprint_type_mismatch": "ignore", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "isolated_copper": "warning", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "lib_footprint_issues": "warning", + "lib_footprint_mismatch": "warning", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "warning", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_edge_clearance": "warning", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "solder_mask_bridge": "error", + "starved_thermal": "error", + "text_height": "warning", + "text_thickness": "warning", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zones_intersect": "error" + }, + "rules": { + "max_error": 0.005, + "min_clearance": 0.15, + "min_connection": 0.15, + "min_copper_edge_clearance": 0.4, + "min_hole_clearance": 0.25, + "min_hole_to_hole": 0.25, + "min_microvia_diameter": 0.2, + "min_microvia_drill": 0.1, + "min_resolved_spokes": 2, + "min_silk_clearance": 0.0, + "min_text_height": 0.8, + "min_text_thickness": 0.08, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.15, + "min_via_annular_width": 0.1, + "min_via_diameter": 0.6, + "solder_mask_to_copper_clearance": 0.0, + "use_height_for_length_calcs": true + }, + "teardrop_options": [ + { + "td_onpadsmd": true, + "td_onroundshapesonly": false, + "td_ontrackend": false, + "td_onviapad": true + } + ], + "teardrop_parameters": [ + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_round_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_rect_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_track_end", + "td_width_to_size_filter_ratio": 0.9 + } + ], + "track_widths": [ + 0.0 + ], + "tuning_pattern_settings": { + "diff_pair_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 1.0 + }, + "diff_pair_skew_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 0.6 + }, + "single_track_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 0.6 + } + }, + "via_dimensions": [ + { + "diameter": 0.0, + "drill": 0.0 + } + ], + "zones_allow_external_fillets": false + }, + "ipc2581": { + "dist": "", + "distpn": "", + "internal_id": "", + "mfg": "", + "mpn": "" + }, + "layer_presets": [], + "viewports": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "conflicting_netclasses": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "endpoint_off_grid": "warning", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "missing_bidi_pin": "warning", + "missing_input_pin": "warning", + "missing_power_pin": "error", + "missing_unit": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "simulation_model_issue": "ignore", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [], + "pinned_symbol_libs": [] + }, + "meta": { + "filename": "trashernet_soc.kicad_pro", + "version": 1 + }, + "net_settings": { + "classes": [ + { + "bus_width": 12, + "clearance": 0.15, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.2, + "via_diameter": 0.6, + "via_drill": 0.3, + "wire_width": 6 + }, + { + "bus_width": 12, + "clearance": 0.15, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Power", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.2, + "via_diameter": 0.6, + "via_drill": 0.3, + "wire_width": 6 + }, + { + "bus_width": 12, + "clearance": 0.15, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Powerin", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.4, + "via_diameter": 0.6, + "via_drill": 0.3, + "wire_width": 6 + } + ], + "meta": { + "version": 3 + }, + "net_colors": null, + "netclass_assignments": null, + "netclass_patterns": [ + { + "netclass": "Power", + "pattern": "*+3V3*" + }, + { + "netclass": "Power", + "pattern": "+1V2" + }, + { + "netclass": "Power", + "pattern": "GND" + }, + { + "netclass": "Powerin", + "pattern": "VBUS" + } + ] + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "", + "plot": "", + "pos_files": "", + "specctra_dsn": "", + "step": "", + "svg": "", + "vrml": "" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "annotate_start_num": 0, + "bom_fmt_presets": [], + "bom_fmt_settings": { + "field_delimiter": ",", + "keep_line_breaks": false, + "keep_tabs": false, + "name": "CSV", + "ref_delimiter": ",", + "ref_range_delimiter": "", + "string_delimiter": "\"" + }, + "bom_presets": [], + "bom_settings": { + "exclude_dnp": false, + "fields_ordered": [ + { + "group_by": false, + "label": "Reference", + "name": "Reference", + "show": true + }, + { + "group_by": true, + "label": "Value", + "name": "Value", + "show": true + }, + { + "group_by": false, + "label": "Datasheet", + "name": "Datasheet", + "show": true + }, + { + "group_by": false, + "label": "Footprint", + "name": "Footprint", + "show": true + }, + { + "group_by": false, + "label": "Qty", + "name": "${QUANTITY}", + "show": true + }, + { + "group_by": true, + "label": "DNP", + "name": "${DNP}", + "show": true + } + ], + "filter_string": "", + "group_symbols": true, + "name": "Grouped By Value", + "sort_asc": true, + "sort_field": "Reference" + }, + "connection_grid_size": 50.0, + "drawing": { + "dashed_lines_dash_length_ratio": 12.0, + "dashed_lines_gap_length_ratio": 3.0, + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.375, + "operating_point_overlay_i_precision": 3, + "operating_point_overlay_i_range": "~A", + "operating_point_overlay_v_precision": 3, + "operating_point_overlay_v_range": "~V", + "overbar_offset_ratio": 1.23, + "pin_symbol_size": 25.0, + "text_offset_ratio": 0.15 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "", + "page_layout_descr_file": "", + "plot_directory": "", + "spice_current_sheet_as_root": false, + "spice_external_command": "spice \"%I\"", + "spice_model_current_sheet_as_root": true, + "spice_save_all_currents": false, + "spice_save_all_dissipations": false, + "spice_save_all_voltages": false, + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "6f17954a-662c-4681-9d8a-117fc0975850", + "Root" + ], + [ + "ac2900c4-bddc-485c-b46e-ddd13f5b0b97", + "power" + ], + [ + "a567741c-bfe0-4737-b224-2172f1c1bd58", + "USB" + ] + ], + "text_variables": {} +} diff --git a/kicad/trashernet_soc.kicad_sch b/kicad/trashernet_soc.kicad_sch new file mode 100644 index 0000000..3c65c0d --- /dev/null +++ b/kicad/trashernet_soc.kicad_sch @@ -0,0 +1,11871 @@ +(kicad_sch + (version 20231120) + (generator "eeschema") + (generator_version "8.0") + (uuid "6f17954a-662c-4681-9d8a-117fc0975850") + (paper "A4") + (lib_symbols + (symbol "Connector:RJ45_Amphenol_RJMG1BD3B8K1ANR" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at 18.415 10.16 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "RJ45_Amphenol_RJMG1BD3B8K1ANR" + (at -19.05 10.16 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Connector_RJ:RJ45_Amphenol_RJMG1BD3B8K1ANR" + (at 0 12.7 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.amphenol-cs.com/media/wysiwyg/files/drawing/rjmg1bd3b8k1anr.pdf" + (at 0 15.24 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "1 Port RJ45 Magjack Connector Through Hole 10/100 Base-T, AutoMDIX" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "RJ45 Magjack Socket" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "RJ45*Amphenol*RJMG1BD3B8K1ANR*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "RJ45_Amphenol_RJMG1BD3B8K1ANR_0_0" + (circle + (center -1.27 -2.54) + (radius 0.0001) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center -1.27 5.08) + (radius 0.0001) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.27 5.08) (xy -1.27 -5.715) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0.635 -5.08) (xy 0.635 -6.35) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 -5.08) (xy 1.27 -6.35) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 3.048 -2.54) (xy 2.54 -2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 3.048 5.08) (xy 2.54 5.08) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 17.399 -3.175) (xy 17.399 -2.54) (xy 20.32 -2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 17.399 6.985) (xy 17.399 7.62) (xy 20.32 7.62) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 20.32 -5.08) (xy 17.399 -5.08) (xy 17.399 -4.572) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 20.32 5.08) (xy 17.399 5.08) (xy 17.399 5.588) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 3.683 -1.905) (xy 3.048 -1.905) (xy 3.048 -3.175) (xy 3.683 -3.175) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 3.683 5.715) (xy 3.048 5.715) (xy 3.048 4.445) (xy 3.683 4.445) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 3.048 -2.54) + (radius 0.0001) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 3.048 5.08) + (radius 0.0001) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + (text "C1" + (at 4.699 6.985 0) + (effects + (font + (size 0.889 0.889) + ) + ) + ) + (text "C1" + (at 10.16 -3.175 0) + (effects + (font + (size 0.889 0.889) + ) + ) + ) + (text "C2" + (at 4.699 3.175 0) + (effects + (font + (size 0.889 0.889) + ) + ) + ) + (text "C3" + (at 4.699 -0.635 0) + (effects + (font + (size 0.889 0.889) + ) + ) + ) + (text "C4" + (at 4.699 5.715 0) + (effects + (font + (size 0.889 0.889) + ) + ) + ) + (text "C5" + (at 4.699 4.445 0) + (effects + (font + (size 0.889 0.889) + ) + ) + ) + (text "C6" + (at 4.699 -4.445 0) + (effects + (font + (size 0.889 0.889) + ) + ) + ) + (text "C7" + (at 4.699 -1.905 0) + (effects + (font + (size 0.889 0.889) + ) + ) + ) + (text "C8" + (at 4.699 -3.175 0) + (effects + (font + (size 0.889 0.889) + ) + ) + ) + (text "RCV" + (at -8.255 -5.715 0) + (effects + (font + (size 1.016 1.016) + ) + (justify left) + ) + ) + (text "XMIT" + (at -8.255 1.905 0) + (effects + (font + (size 1.016 1.016) + ) + (justify left) + ) + ) + ) + (symbol "RJ45_Amphenol_RJMG1BD3B8K1ANR_0_1" + (rectangle + (start -17.78 8.89) + (end 20.32 -7.62) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -12.7 -5.08) (xy -13.081 -5.08) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -12.7 -2.54) (xy -13.081 -2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -12.7 0) (xy -13.081 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -12.7 2.54) (xy -13.081 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -12.7 5.08) (xy -13.081 5.08) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -12.7 7.62) (xy -13.081 7.62) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -6.35 -4.445) (xy 3.683 -4.445) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -6.35 3.175) (xy 3.683 3.175) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -6.35 6.985) (xy 3.683 6.985) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -6.223 -0.635) (xy 3.683 -0.635) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -5.08 -2.54) (xy -10.16 -2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -4.953 5.08) (xy -10.16 5.08) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.159 -2.54) (xy -0.381 -2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.159 5.08) (xy -0.381 5.08) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0.635 -5.715) (xy -1.27 -5.715) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 7.4295 -1.9685) (xy 8.6995 -1.9685) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 7.4295 -0.6985) (xy 8.6995 -0.6985) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 7.4295 0.5715) (xy 8.6995 0.5715) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 7.4295 1.8415) (xy 8.6995 1.8415) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 7.4295 3.1115) (xy 8.6995 3.1115) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 8.6995 -3.2385) (xy 7.4295 -3.2385) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 8.6995 4.3815) (xy 7.4295 4.3815) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 8.6995 5.6515) (xy 7.4295 5.6515) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 10.16 -5.715) (xy 1.27 -5.715) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 10.16 -5.715) (xy 10.16 -7.62) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -10.16 0) (xy -8.89 0) (xy -8.89 -0.635) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -10.16 7.62) (xy -8.89 7.62) (xy -8.89 6.985) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -8.89 -4.445) (xy -8.89 -5.08) (xy -10.16 -5.08) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -8.89 3.175) (xy -8.89 2.54) (xy -10.16 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "RJ45_Amphenol_RJMG1BD3B8K1ANR_1_0" + (text "1000pF" + (at 3.302 -6.477 0) + (effects + (font + (size 0.635 0.635) + ) + ) + ) + ) + (symbol "RJ45_Amphenol_RJMG1BD3B8K1ANR_1_1" + (arc + (start -12.7 -1.27) + (mid -12.0677 -0.635) + (end -12.7 0) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -12.6973 -5.08) + (mid -12.065 -4.445) + (end -12.6973 -3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -12.6973 -3.81) + (mid -12.065 -3.175) + (end -12.6973 -2.54) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -12.6973 -2.54) + (mid -12.065 -1.905) + (end -12.6973 -1.27) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -12.6973 6.35) + (mid -12.065 6.985) + (end -12.6973 7.62) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -12.6946 2.54) + (mid -12.0623 3.175) + (end -12.6946 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -12.6946 3.81) + (mid -12.0623 4.445) + (end -12.6946 5.08) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -12.6946 5.08) + (mid -12.0623 5.715) + (end -12.6946 6.35) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -10.1654 -2.54) + (mid -10.7977 -3.175) + (end -10.1654 -3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -10.1654 -1.27) + (mid -10.7977 -1.905) + (end -10.1654 -2.54) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -10.1654 0) + (mid -10.7977 -0.635) + (end -10.1654 -1.27) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -10.1654 5.08) + (mid -10.7977 4.445) + (end -10.1654 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -10.1654 6.35) + (mid -10.7977 5.715) + (end -10.1654 5.08) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -10.1654 7.62) + (mid -10.7977 6.985) + (end -10.1654 6.35) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -10.1627 -3.81) + (mid -10.795 -4.445) + (end -10.1627 -5.08) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -10.1627 3.81) + (mid -10.795 3.175) + (end -10.1627 2.54) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -8.89 6.9823) + (mid -8.255 6.35) + (end -7.62 6.9823) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -8.8265 -0.7012) + (mid -8.1915 -1.3335) + (end -7.5565 -0.7012) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -7.62 -4.3153) + (mid -8.255 -3.683) + (end -8.89 -4.3153) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -7.62 3.3047) + (mid -8.255 3.937) + (end -8.89 3.3047) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -7.62 6.985) + (mid -6.985 6.3527) + (end -6.35 6.985) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -7.5565 -0.6985) + (mid -6.9215 -1.3308) + (end -6.2865 -0.6985) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -6.35 -4.3126) + (mid -6.985 -3.6803) + (end -7.62 -4.3126) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -6.35 3.3074) + (mid -6.985 3.9397) + (end -7.62 3.3074) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -4.953 -1.905) + (end -2.159 -3.175) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -4.953 5.715) + (end -2.159 4.445) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -0.381 -1.905) + (end 2.413 -3.175) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -0.381 5.715) + (end 2.413 4.445) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 15.24 -7.62) (xy 15.24 -4.572) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 18.161 -4.699) (xy 16.637 -4.699) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 18.161 5.461) (xy 16.637 5.461) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 18.796 -4.572) (xy 18.796 -4.318) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 18.796 5.588) (xy 18.796 5.842) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 19.05 -3.937) (xy 19.05 -3.683) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 19.05 6.223) (xy 19.05 6.477) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 18.288 -4.064) (xy 18.796 -4.572) (xy 18.542 -4.572) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 18.288 6.096) (xy 18.796 5.588) (xy 18.542 5.588) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 18.542 -3.429) (xy 19.05 -3.937) (xy 18.796 -3.937) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 18.542 6.731) (xy 19.05 6.223) (xy 18.796 6.223) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 18.288 -3.175) (xy 16.383 -3.175) (xy 17.399 -4.699) (xy 18.288 -3.175) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 18.288 6.985) (xy 16.383 6.985) (xy 17.399 5.461) (xy 18.288 6.985) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 7.3025 -4.5085) (xy 7.3025 6.9215) (xy 15.0495 6.9215) (xy 15.0495 4.3815) (xy 16.3195 4.3815) + (xy 16.3195 3.1115) (xy 17.5895 3.1115) (xy 17.5895 -0.6985) (xy 16.3195 -0.6985) (xy 16.3195 -1.9685) + (xy 15.0495 -1.9685) (xy 15.0495 -4.5085) (xy 7.3025 -4.5085) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (text "75" + (at -3.556 -2.54 0) + (effects + (font + (size 0.635 0.635) + ) + ) + ) + (text "75" + (at -3.556 5.08 0) + (effects + (font + (size 0.635 0.635) + ) + ) + ) + (text "75" + (at 1.016 -2.54 0) + (effects + (font + (size 0.635 0.635) + ) + ) + ) + (text "75" + (at 1.016 5.08 0) + (effects + (font + (size 0.635 0.635) + ) + ) + ) + (pin passive line + (at 22.86 7.62 180) + (length 2.54) + (name "" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "L1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 22.86 5.08 180) + (length 2.54) + (name "" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "L2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 22.86 -5.08 180) + (length 2.54) + (name "" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "L3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 22.86 -2.54 180) + (length 2.54) + (name "" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "L4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -20.32 7.62 0) + (length 2.54) + (name "TD+" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "R1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -20.32 2.54 0) + (length 2.54) + (name "TD-" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "R2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -20.32 0 0) + (length 2.54) + (name "RD+" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "R3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -20.32 5.08 0) + (length 2.54) + (name "TCT" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "R4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -20.32 -2.54 0) + (length 2.54) + (name "RCT" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "R5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -20.32 -5.08 0) + (length 2.54) + (name "RD-" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "R6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin no_connect line + (at 20.32 0 0) + (length 0) hide + (name "NC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "R7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 10.16 -10.16 90) + (length 2.54) + (name "" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "R8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 15.24 -10.16 90) + (length 2.54) + (name "" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "SH" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Connector:TestPoint" + (pin_numbers hide) + (pin_names + (offset 0.762) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "TP" + (at 0 6.858 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "TestPoint" + (at 0 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 5.08 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 5.08 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "test point" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "test point tp" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "Pin* Test*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "TestPoint_0_1" + (circle + (center 0 3.302) + (radius 0.762) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "TestPoint_1_1" + (pin passive line + (at 0 0 90) + (length 2.54) + (name "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Connector_Generic:Conn_02x06_Odd_Even" + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at 1.27 7.62 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Conn_02x06_Odd_Even" + (at 1.27 -10.16 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, double row, 02x06, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "connector" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "Connector*:*_2x??_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "Conn_02x06_Odd_Even_1_1" + (rectangle + (start -1.27 -7.493) + (end 0 -7.747) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -1.27 -4.953) + (end 0 -5.207) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -1.27 -2.413) + (end 0 -2.667) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -1.27 0.127) + (end 0 -0.127) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -1.27 2.667) + (end 0 2.413) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -1.27 5.207) + (end 0 4.953) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -1.27 6.35) + (end 3.81 -8.89) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (rectangle + (start 3.81 -7.493) + (end 2.54 -7.747) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 3.81 -4.953) + (end 2.54 -5.207) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 3.81 -2.413) + (end 2.54 -2.667) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 3.81 0.127) + (end 2.54 -0.127) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 3.81 2.667) + (end 2.54 2.413) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 3.81 5.207) + (end 2.54 4.953) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (pin passive line + (at -5.08 5.08 0) + (length 3.81) + (name "Pin_1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 -5.08 180) + (length 3.81) + (name "Pin_10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 -7.62 0) + (length 3.81) + (name "Pin_11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 -7.62 180) + (length 3.81) + (name "Pin_12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 5.08 180) + (length 3.81) + (name "Pin_2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 2.54 0) + (length 3.81) + (name "Pin_3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 2.54 180) + (length 3.81) + (name "Pin_4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 0 0) + (length 3.81) + (name "Pin_5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 0 180) + (length 3.81) + (name "Pin_6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 -2.54 0) + (length 3.81) + (name "Pin_7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 -2.54 180) + (length 3.81) + (name "Pin_8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 -5.08 0) + (length 3.81) + (name "Pin_9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:C" + (pin_numbers hide) + (pin_names + (offset 0.254) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "C" + (at 0.635 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "C" + (at 0.635 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 0.9652 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "cap capacitor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "C_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "C_0_1" + (polyline + (pts + (xy -2.032 -0.762) (xy 2.032 -0.762) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.032 0.762) (xy 2.032 0.762) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "C_1_1" + (pin passive line + (at 0 3.81 270) + (length 2.794) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 2.794) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:L" + (pin_numbers hide) + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "L" + (at -1.27 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "L" + (at 1.905 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Inductor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "inductor choke coil reactor magnetic" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "Choke_* *Coil* Inductor_* L_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "L_0_1" + (arc + (start 0 -2.54) + (mid 0.6323 -1.905) + (end 0 -1.27) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start 0 -1.27) + (mid 0.6323 -0.635) + (end 0 0) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start 0 0) + (mid 0.6323 0.635) + (end 0 1.27) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start 0 1.27) + (mid 0.6323 1.905) + (end 0 2.54) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "L_1_1" + (pin passive line + (at 0 3.81 270) + (length 1.27) + (name "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 1.27) + (name "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:LED" + (pin_numbers hide) + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "D" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "LED" + (at 0 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Light emitting diode" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "LED diode" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "LED_0_1" + (polyline + (pts + (xy -1.27 -1.27) (xy -1.27 1.27) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.27 0) (xy 1.27 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 -1.27) (xy 1.27 1.27) (xy -1.27 0) (xy 1.27 -1.27) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -3.048 -0.762) (xy -4.572 -2.286) (xy -3.81 -2.286) (xy -4.572 -2.286) (xy -4.572 -1.524) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.778 -0.762) (xy -3.302 -2.286) (xy -2.54 -2.286) (xy -3.302 -2.286) (xy -3.302 -1.524) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "LED_1_1" + (pin passive line + (at -3.81 0 0) + (length 2.54) + (name "K" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 3.81 0 180) + (length 2.54) + (name "A" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:R" + (pin_numbers hide) + (pin_names + (offset 0) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "R" + (at 2.032 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "R" + (at 0 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at -1.778 0 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "R res resistor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "R_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "R_0_1" + (rectangle + (start -1.016 -2.54) + (end 1.016 2.54) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "R_1_1" + (pin passive line + (at 0 3.81 270) + (length 1.27) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 1.27) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "FPGA_Lattice:ICE40UP5K-SG48ITR" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -8.89 -29.21 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "ICE40UP5K-SG48ITR" + (at 0 -31.75 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" + (at 0 -34.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.latticesemi.com/Products/FPGAandCPLD/iCE40Ultra" + (at -10.16 25.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "iCE40 UltraPlus FPGA, 5280 LUTs, 1.2V, 48-pin QFN" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "FPGA programmable logic" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "ICE40UP5K-SG48ITR_1_1" + (rectangle + (start -7.62 25.4) + (end 7.62 -27.94) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin bidirectional line + (at -10.16 12.7 0) + (length 2.54) + (name "IOT_37a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "23" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 15.24 0) + (length 2.54) + (name "IOT_36b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "25" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 7.62 0) + (length 2.54) + (name "IOT_39a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "26" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 10.16 0) + (length 2.54) + (name "IOT_38b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "27" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 5.08 0) + (length 2.54) + (name "IOT_41a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "28" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 2.54 0) + (length 2.54) + (name "IOT_42b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "31" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 0 0) + (length 2.54) + (name "IOT_43a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "32" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 27.94 270) + (length 2.54) + (name "VCCIO_0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "33" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -2.54 0) + (length 2.54) + (name "IOT_44b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "34" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -7.62 0) + (length 2.54) + (name "IOT_46b_G0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "35" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -10.16 0) + (length 2.54) + (name "IOT_48b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "36" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -5.08 0) + (length 2.54) + (name "IOT_45a_G1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "37" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -15.24 0) + (length 2.54) + (name "IOT_50b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "38" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin open_collector line + (at -10.16 -20.32 0) + (length 2.54) + (name "RGB0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "39" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin open_collector line + (at -10.16 -22.86 0) + (length 2.54) + (name "RGB1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "40" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin open_collector line + (at -10.16 -25.4 0) + (length 2.54) + (name "RGB2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "41" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -17.78 0) + (length 2.54) + (name "IOT_51a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "42" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -12.7 0) + (length 2.54) + (name "IOT_49a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "43" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "ICE40UP5K-SG48ITR_2_1" + (rectangle + (start -10.16 25.4) + (end 10.16 -25.4) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin bidirectional line + (at -12.7 5.08 0) + (length 2.54) + (name "IOB_18a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 2.54 0) + (length 2.54) + (name "IOB_20a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 0 0) + (length 2.54) + (name "IOB_22a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -5.08 0) + (length 2.54) + (name "IOB_24a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -15.24 0) + (length 2.54) + (name "IOB_32a_SPI_SO" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -20.32 0) + (length 2.54) + (name "IOB_34a_SPI_SCK" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -22.86 0) + (length 2.54) + (name "IOB_35b_SPI_SS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -17.78 0) + (length 2.54) + (name "IOB_33b_SPI_SI" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -12.7 0) + (length 2.54) + (name "IOB_31b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -10.16 0) + (length 2.54) + (name "IOB_29b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -7.62 0) + (length 2.54) + (name "IOB_25b_G3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -2.54 0) + (length 2.54) + (name "IOB_23b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "21" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 27.94 270) + (length 2.54) + (name "SPI_VCCIO1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "22" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 10.16 0) + (length 2.54) + (name "IOB_13b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin open_collector line + (at 12.7 17.78 180) + (length 2.54) + (name "CDONE" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 17.78 0) + (length 2.54) + (name "~{CRESET}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 7.62 0) + (length 2.54) + (name "IOB_16a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "ICE40UP5K-SG48ITR_3_1" + (rectangle + (start -7.62 15.24) + (end 7.62 -15.24) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin power_in line + (at 0 17.78 270) + (length 2.54) + (name "VCCIO_2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -7.62 0) + (length 2.54) + (name "IOB_6a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -12.7 0) + (length 2.54) + (name "IOB_9b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -10.16 0) + (length 2.54) + (name "IOB_8a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 0 0) + (length 2.54) + (name "IOB_3b_G6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "44" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -5.08 0) + (length 2.54) + (name "IOB_5b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "45" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 5.08 0) + (length 2.54) + (name "IOB_0a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "46" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 2.54 0) + (length 2.54) + (name "IOB_2a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "47" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -2.54 0) + (length 2.54) + (name "IOB_4a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "48" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "ICE40UP5K-SG48ITR_4_1" + (rectangle + (start -5.08 7.62) + (end 5.08 -7.62) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin power_in line + (at -2.54 10.16 270) + (length 2.54) + (name "VPP_2V5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "24" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 2.54 10.16 270) + (length 2.54) + (name "VCCPLL" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "29" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 10.16 270) + (length 2.54) hide + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "30" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -10.16 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "49" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 10.16 270) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Memory_Flash:AT25SF081-SSHD-X" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -6.35 11.43 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "AT25SF081-SSHD-X" + (at 11.43 11.43 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" + (at 0 -15.24 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.adestotech.com/wp-content/uploads/DS-AT25SF081_045.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "8-Mbit, 2.5V Minimum SPI Serial Flash Memory with Dual-I/O and Quad-I/O Support, SOIC-8" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "SPI DSPI QSPI 8Mbit 2.5V" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "SOIC?8*3.9x4.9mm*P1.27mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "AT25SF081-SSHD-X_0_1" + (rectangle + (start -7.62 10.16) + (end 7.62 -10.16) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "AT25SF081-SSHD-X_1_1" + (pin input line + (at -10.16 7.62 0) + (length 2.54) + (name "~{CS}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 0 0) + (length 2.54) + (name "SO/IO1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -2.54 0) + (length 2.54) + (name "~{WP}/IO2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -12.7 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 2.54 0) + (length 2.54) + (name "SI/IO0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 5.08 0) + (length 2.54) + (name "SCK" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -5.08 0) + (length 2.54) + (name "~{HOLD}/IO3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 12.7 270) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Memory_Flash:W25Q128JVS" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -8.89 8.89 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "W25Q128JVS" + (at 7.62 8.89 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_SO:SOIC-8_5.23x5.23mm_P1.27mm" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.winbond.com/resource-files/w25q128jv_dtr%20revc%2003272018%20plus.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "128Mb Serial Flash Memory, Standard/Dual/Quad SPI, SOIC-8" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "flash memory SPI QPI DTR" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "SOIC*5.23x5.23mm*P1.27mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "W25Q128JVS_0_1" + (rectangle + (start -7.62 10.16) + (end 7.62 -10.16) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "W25Q128JVS_1_1" + (pin input line + (at -10.16 7.62 0) + (length 2.54) + (name "~{CS}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 0 0) + (length 2.54) + (name "DO(IO1)" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -2.54 0) + (length 2.54) + (name "IO2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -12.7 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 2.54 0) + (length 2.54) + (name "DI(IO0)" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 5.08 0) + (length 2.54) + (name "CLK" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -5.08 0) + (length 2.54) + (name "IO3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 12.7 270) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Oscillator:ASCO" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "X" + (at -7.62 6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "ASCO" + (at 1.27 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Oscillator:Oscillator_SMD_Abracon_ASCO-4Pin_1.6x1.2mm" + (at 2.54 -8.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://abracon.com/Oscillators/ASCO.pdf" + (at -5.715 3.175 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Crystal Clock Oscillator, Abracon ASCO" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "Crystal Clock Oscillator" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "Oscillator*Abracon*ASCO*1.6x1.2mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "ASCO_0_1" + (rectangle + (start -7.62 5.08) + (end 7.62 -5.08) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -5.715 2.54) (xy -5.08 2.54) (xy -5.08 3.81) (xy -4.445 3.81) (xy -4.445 2.54) (xy -3.81 2.54) + (xy -3.81 3.81) (xy -3.175 3.81) (xy -3.175 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "ASCO_1_1" + (pin input line + (at -10.16 0 0) + (length 2.54) + (name "Tri-State" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -7.62 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 10.16 0 180) + (length 2.54) + (name "OUT" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 7.62 270) + (length 2.54) + (name "VDD" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Switch:SW_Push" + (pin_numbers hide) + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "SW" + (at 1.27 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "SW_Push" + (at 0 -1.524 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Push button switch, generic, two pins" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "switch normally-open pushbutton push-button" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "SW_Push_0_1" + (circle + (center -2.032 0) + (radius 0.508) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 1.27) (xy 0 3.048) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 2.54 1.27) (xy -2.54 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 2.032 0) + (radius 0.508) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (pin passive line + (at -5.08 0 0) + (length 2.54) + (name "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 0 180) + (length 2.54) + (name "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:+3V3" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:GND" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "GND_1_1" + (pin power_in line + (at 0 0 270) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + ) + (junction + (at 194.31 58.42) + (diameter 0) + (color 0 0 0 0) + (uuid "06fb3426-c62d-4861-b285-623a14ce95de") + ) + (junction + (at 34.29 138.43) + (diameter 0) + (color 0 0 0 0) + (uuid "16077ec3-dd49-4ff6-a32e-7d97000ae3fb") + ) + (junction + (at 69.85 124.46) + (diameter 0) + (color 0 0 0 0) + (uuid "261ce2bc-286e-4eec-83c1-db0778273d59") + ) + (junction + (at 33.02 151.13) + (diameter 0) + (color 0 0 0 0) + (uuid "2a8a832f-4e85-4472-91bd-0d72fbbebcbd") + ) + (junction + (at 33.02 153.67) + (diameter 0) + (color 0 0 0 0) + (uuid "50d1c9a1-50b2-4064-8ccd-ffa4100c9e9a") + ) + (junction + (at 130.81 71.12) + (diameter 0) + (color 0 0 0 0) + (uuid "529de53f-d6e8-4a7d-828e-c01c4da840cb") + ) + (junction + (at 33.02 146.05) + (diameter 0) + (color 0 0 0 0) + (uuid "6e0e30f7-e45c-40c7-b9cd-05d27f8d82c4") + ) + (junction + (at 34.29 140.97) + (diameter 0) + (color 0 0 0 0) + (uuid "7a53bcaf-cf38-4e52-bb81-bd505a103753") + ) + (junction + (at 58.42 124.46) + (diameter 0) + (color 0 0 0 0) + (uuid "8c85c6ce-adc6-4a54-a471-0a7c05540c66") + ) + (junction + (at 34.29 143.51) + (diameter 0) + (color 0 0 0 0) + (uuid "8f4fc98c-bd37-4c0c-86ae-db0e9632641f") + ) + (junction + (at 130.81 81.28) + (diameter 0) + (color 0 0 0 0) + (uuid "af61857f-fa88-4fea-8443-3678de348e27") + ) + (junction + (at 154.94 138.43) + (diameter 0) + (color 0 0 0 0) + (uuid "bb12dbad-d26c-417c-9eb3-54f13ce38ef9") + ) + (junction + (at 246.38 72.39) + (diameter 0) + (color 0 0 0 0) + (uuid "d844af73-05fc-4bb6-9042-606a19d7a926") + ) + (junction + (at 106.68 77.47) + (diameter 0) + (color 0 0 0 0) + (uuid "dfd5c766-15dd-4643-863b-5f980e092116") + ) + (junction + (at 58.42 113.03) + (diameter 0) + (color 0 0 0 0) + (uuid "fa6bfac9-47bb-453a-bdc4-b901db28eab8") + ) + (junction + (at 194.31 102.87) + (diameter 0) + (color 0 0 0 0) + (uuid "fc96b561-289f-459e-9965-d5ceec93cb24") + ) + (junction + (at 106.68 69.85) + (diameter 0) + (color 0 0 0 0) + (uuid "feb873f5-1485-418d-97c0-157263885d80") + ) + (no_connect + (at 44.45 81.28) + (uuid "3b378c13-a5d9-41b8-b608-ab586ded5a86") + ) + (no_connect + (at 80.01 66.04) + (uuid "6828d10a-d03f-4761-a780-f5e6ca07969b") + ) + (no_connect + (at 49.53 81.28) + (uuid "c99fe9cc-e324-427b-b190-3379f6f47448") + ) + (wire + (pts + (xy 246.38 72.39) (xy 246.38 73.66) + ) + (stroke + (width 0) + (type default) + ) + (uuid "00f822aa-c13c-4141-a16c-4247776c4cde") + ) + (wire + (pts + (xy 204.47 64.77) (xy 214.63 64.77) + ) + (stroke + (width 0) + (type default) + ) + (uuid "01b34bcf-d53d-420b-8fb4-9561597df91a") + ) + (wire + (pts + (xy 128.27 157.48) (xy 128.27 160.02) + ) + (stroke + (width 0) + (type default) + ) + (uuid "02037e06-704a-4286-86ce-1dfee64aeae5") + ) + (wire + (pts + (xy 106.68 69.85) (xy 121.92 69.85) + ) + (stroke + (width 0) + (type default) + ) + (uuid "023df4ad-85d1-49df-a85f-3ac00c391992") + ) + (polyline + (pts + (xy 214.63 77.47) (xy 219.71 77.47) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0280d6f4-3fcc-45de-8a5b-68a22cac3d11") + ) + (wire + (pts + (xy 204.47 114.3) (xy 233.68 114.3) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0416627a-d903-452f-92f4-010336a3657d") + ) + (wire + (pts + (xy 121.92 59.69) (xy 121.92 62.23) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0832f1a8-69dd-47cf-a631-76f47a4552ad") + ) + (wire + (pts + (xy 102.87 66.04) (xy 113.03 66.04) + ) + (stroke + (width 0) + (type default) + ) + (uuid "08e25580-53d9-4bda-8f92-797f2db12350") + ) + (wire + (pts + (xy 125.73 170.18) (xy 144.78 170.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0bc025be-2971-457f-85a2-55c5c84a5018") + ) + (polyline + (pts + (xy 214.63 74.93) (xy 219.71 74.93) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0e4eda77-eb37-4410-a129-473cf25908c6") + ) + (wire + (pts + (xy 105.41 170.18) (xy 105.41 172.72) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0ef5e241-0bc0-4f7c-b01c-e00d7e90128b") + ) + (wire + (pts + (xy 33.02 156.21) (xy 48.26 156.21) + ) + (stroke + (width 0) + (type default) + ) + (uuid "12143cdb-0358-4ee2-80b4-13ee282926f1") + ) + (wire + (pts + (xy 34.29 76.2) (xy 36.83 76.2) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1292efbd-33ac-4157-b333-d9695c616bb7") + ) + (wire + (pts + (xy 116.84 133.35) (xy 119.38 133.35) + ) + (stroke + (width 0) + (type default) + ) + (uuid "15688258-1148-4c3e-933e-8f1c1f061f8f") + ) + (wire + (pts + (xy 139.7 72.39) (xy 139.7 71.12) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1683dcbb-7b06-4dc8-8097-442cd25bc18f") + ) + (wire + (pts + (xy 163.83 138.43) (xy 166.37 138.43) + ) + (stroke + (width 0) + (type default) + ) + (uuid "173fe25f-beeb-48e5-b85b-1cabc24f9ab7") + ) + (wire + (pts + (xy 134.62 128.27) (xy 134.62 130.81) + ) + (stroke + (width 0) + (type default) + ) + (uuid "17c75997-b173-454c-ac82-7c0d600642f4") + ) + (wire + (pts + (xy 223.52 109.22) (xy 233.68 109.22) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1872e06b-537a-4718-ac90-ba09b86b1d7c") + ) + (wire + (pts + (xy 34.29 60.96) (xy 34.29 63.5) + ) + (stroke + (width 0) + (type default) + ) + (uuid "19da6af1-6ad0-479f-8659-3095d9cae146") + ) + (wire + (pts + (xy 33.02 153.67) (xy 48.26 153.67) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1b4e06c1-31fe-4634-b8d7-6187381110fa") + ) + (wire + (pts + (xy 204.47 77.47) (xy 214.63 77.47) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1be9ecc6-f707-4577-9911-48648acdb8cc") + ) + (wire + (pts + (xy 251.46 152.4) (xy 254 152.4) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1c244dfb-14a8-47ee-9fcc-57381a4f1b10") + ) + (wire + (pts + (xy 194.31 129.54) (xy 194.31 132.08) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1cf8af73-e3b0-4548-b0c0-1e9bf9bca568") + ) + (wire + (pts + (xy 130.81 71.12) (xy 139.7 71.12) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1d388a70-ced5-45b9-a287-e46dc8bd6809") + ) + (polyline + (pts + (xy 214.63 72.39) (xy 219.71 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1dcc0c96-9bae-4153-87f1-5be78986bf63") + ) + (wire + (pts + (xy 59.69 124.46) (xy 58.42 124.46) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1ddf6e54-a484-48cb-b03d-d61f25ed9504") + ) + (wire + (pts + (xy 106.68 77.47) (xy 121.92 77.47) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1e1d1d9f-1d09-470f-a214-cdfa75061439") + ) + (wire + (pts + (xy 204.47 119.38) (xy 228.6 119.38) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1e9fa39b-e4dc-4b81-a145-023cab59878f") + ) + (wire + (pts + (xy 204.47 67.31) (xy 214.63 67.31) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1fb2c7b3-6fb8-4239-8794-1619fc6a7e83") + ) + (wire + (pts + (xy 204.47 74.93) (xy 214.63 74.93) + ) + (stroke + (width 0) + (type default) + ) + (uuid "207267dc-ce27-4451-9b5b-3150285d105d") + ) + (wire + (pts + (xy 182.88 58.42) (xy 182.88 60.96) + ) + (stroke + (width 0) + (type default) + ) + (uuid "20dc2eb9-6f80-463c-8ee1-c86133c5f1db") + ) + (wire + (pts + (xy 58.42 113.03) (xy 59.69 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "218c7b99-8dbb-432e-b6d5-c9ae5daa328d") + ) + (wire + (pts + (xy 80.01 71.12) (xy 90.17 71.12) + ) + (stroke + (width 0) + (type default) + ) + (uuid "219f2843-e253-43eb-af7b-e4704b2620bf") + ) + (wire + (pts + (xy 134.62 190.5) (xy 144.78 190.5) + ) + (stroke + (width 0) + (type default) + ) + (uuid "23ec6775-c5ca-447d-8bbd-e683d1393adf") + ) + (wire + (pts + (xy 102.87 77.47) (xy 106.68 77.47) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2b07da13-08e9-4826-a6f6-c3ee65fa4214") + ) + (wire + (pts + (xy 67.31 113.03) (xy 69.85 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2d27b324-b34d-4469-8d70-a3cb52f60f01") + ) + (wire + (pts + (xy 185.42 102.87) (xy 182.88 102.87) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2d2a34a0-3a2f-4904-9599-1c42d5b5a65b") + ) + (wire + (pts + (xy 132.08 130.81) (xy 134.62 130.81) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2dd248b7-0540-49c6-8bb5-0e1fff83d116") + ) + (wire + (pts + (xy 223.52 91.44) (xy 233.68 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2de86d85-96ef-4861-9854-a070b2eed31e") + ) + (wire + (pts + (xy 97.79 30.48) (xy 113.03 30.48) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2fe3cd9f-96ca-455a-a357-18418a21e482") + ) + (wire + (pts + (xy 107.95 170.18) (xy 105.41 170.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "327f6cfa-db61-4a7f-9323-64df53b64383") + ) + (polyline + (pts + (xy 219.71 91.44) (xy 223.52 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "32b0ff3d-7f4b-4627-9212-5d50aa0b3d85") + ) + (wire + (pts + (xy 135.89 162.56) (xy 144.78 162.56) + ) + (stroke + (width 0) + (type default) + ) + (uuid "33375856-df79-4f64-9a50-aee4faf397f0") + ) + (wire + (pts + (xy 129.54 175.26) (xy 144.78 175.26) + ) + (stroke + (width 0) + (type default) + ) + (uuid "34fd9cd5-73b5-45fa-a8c0-5c97bc75bfbd") + ) + (wire + (pts + (xy 154.94 135.89) (xy 154.94 138.43) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3532f991-df56-4723-ad51-f702d802a4b0") + ) + (wire + (pts + (xy 15.24 76.2) (xy 26.67 76.2) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3799491b-d693-44a3-9270-8ede3eadeead") + ) + (wire + (pts + (xy 193.04 102.87) (xy 194.31 102.87) + ) + (stroke + (width 0) + (type default) + ) + (uuid "389dce51-7411-4289-bb71-2733ca90d344") + ) + (wire + (pts + (xy 58.42 113.03) (xy 58.42 124.46) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3907880d-fe3b-4142-ac1f-cb0a5aed1594") + ) + (wire + (pts + (xy 107.95 160.02) (xy 105.41 160.02) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3bb8ff64-f4b1-4aea-b020-73aec1b415f2") + ) + (polyline + (pts + (xy 219.71 99.06) (xy 223.52 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "40b496f1-6d3c-4f40-93fa-aa0dda92d0bc") + ) + (wire + (pts + (xy 256.54 72.39) (xy 259.08 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "45afa0cb-6edf-4cca-89b0-b6a9f48723c4") + ) + (wire + (pts + (xy 204.47 121.92) (xy 233.68 121.92) + ) + (stroke + (width 0) + (type default) + ) + (uuid "48400034-259e-4ba8-ada8-6bac1901a3ce") + ) + (wire + (pts + (xy 223.52 101.6) (xy 233.68 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "493fe0bc-a14f-4e0b-bfde-a91fbcd40d77") + ) + (wire + (pts + (xy 132.08 135.89) (xy 142.24 135.89) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4bda648a-0983-4ba0-bd44-a4a196abee85") + ) + (wire + (pts + (xy 36.83 63.5) (xy 34.29 63.5) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4ce94aec-286a-4027-8a75-1c9d8d276067") + ) + (wire + (pts + (xy 223.52 93.98) (xy 233.68 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4f7c7955-d556-4f94-96a8-84acf517e294") + ) + (wire + (pts + (xy 259.08 72.39) (xy 259.08 74.93) + ) + (stroke + (width 0) + (type default) + ) + (uuid "50375e15-5af7-4055-a401-8a21f4b6022f") + ) + (wire + (pts + (xy 86.36 66.04) (xy 95.25 66.04) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5044d512-2ecc-4cab-8d54-73428f332bd1") + ) + (wire + (pts + (xy 129.54 172.72) (xy 144.78 172.72) + ) + (stroke + (width 0) + (type default) + ) + (uuid "506a2b5b-7c95-4bb5-ab7c-8f2797a9ae8e") + ) + (wire + (pts + (xy 229.87 138.43) (xy 229.87 140.97) + ) + (stroke + (width 0) + (type default) + ) + (uuid "523f3cfd-9066-4ced-bd25-c628d31cf222") + ) + (wire + (pts + (xy 109.22 135.89) (xy 119.38 135.89) + ) + (stroke + (width 0) + (type default) + ) + (uuid "526441d9-31a8-4a54-8a2f-196095736965") + ) + (wire + (pts + (xy 132.08 143.51) (xy 142.24 143.51) + ) + (stroke + (width 0) + (type default) + ) + (uuid "53213dd5-5e4c-4ca6-95ff-2e9221c10b5d") + ) + (wire + (pts + (xy 69.85 113.03) (xy 69.85 124.46) + ) + (stroke + (width 0) + (type default) + ) + (uuid "568a9bad-b76e-442c-ab35-d4d18b0a0b08") + ) + (wire + (pts + (xy 154.94 138.43) (xy 154.94 139.7) + ) + (stroke + (width 0) + (type default) + ) + (uuid "59129bad-1141-43c9-b4c5-08dd959ea5ce") + ) + (wire + (pts + (xy 119.38 130.81) (xy 116.84 130.81) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5942fc82-ec67-428d-88c3-2db35b5313da") + ) + (wire + (pts + (xy 34.29 138.43) (xy 48.26 138.43) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5c6eeba9-3826-4e22-9a6f-22e60c94c7e9") + ) + (wire + (pts + (xy 34.29 71.12) (xy 34.29 73.66) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5cc5bee3-0a58-434f-8bef-00033c3f0fcd") + ) + (wire + (pts + (xy 33.02 146.05) (xy 33.02 151.13) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5d76ded2-aacb-496a-bfad-eca71fc5c4c8") + ) + (wire + (pts + (xy 204.47 111.76) (xy 233.68 111.76) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5d830a5f-015e-4459-9cd4-038949051f88") + ) + (wire + (pts + (xy 135.89 152.4) (xy 144.78 152.4) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6013d892-45cb-4a54-af26-d2b7afc1160b") + ) + (wire + (pts + (xy 229.87 152.4) (xy 241.3 152.4) + ) + (stroke + (width 0) + (type default) + ) + (uuid "604b582b-b8f4-4faf-8e6c-afb9b88b6508") + ) + (wire + (pts + (xy 33.02 151.13) (xy 48.26 151.13) + ) + (stroke + (width 0) + (type default) + ) + (uuid "64010344-18f5-473c-9752-b73afa6e9c7a") + ) + (wire + (pts + (xy 78.74 185.42) (xy 88.9 185.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "657438f6-5f74-4c42-80ab-29ccc799e06e") + ) + (wire + (pts + (xy 109.22 140.97) (xy 119.38 140.97) + ) + (stroke + (width 0) + (type default) + ) + (uuid "657f855e-b81f-4d87-9858-5b6c924589fc") + ) + (wire + (pts + (xy 58.42 124.46) (xy 58.42 125.73) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6702df3c-11cf-4b2e-b2da-d95c5c59b1d8") + ) + (wire + (pts + (xy 135.89 185.42) (xy 144.78 185.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "67794427-156e-4143-ab4b-93e7c2ddf111") + ) + (wire + (pts + (xy 185.42 58.42) (xy 182.88 58.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "68aeeca0-dbf0-4ac5-a6a7-33953eac8e73") + ) + (wire + (pts + (xy 223.52 99.06) (xy 233.68 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6ba4c6ac-2bc9-4a50-aed9-b95f9c568999") + ) + (polyline + (pts + (xy 219.71 93.98) (xy 223.52 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6d03d58f-beca-44f9-af8b-702760a5192a") + ) + (wire + (pts + (xy 130.81 59.69) (xy 130.81 62.23) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6dc7e98d-dcba-4aae-bdfa-bbdaba242888") + ) + (polyline + (pts + (xy 219.71 106.68) (xy 223.52 106.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6ecc5575-5850-4395-a1be-a0cac1b1e4d2") + ) + (wire + (pts + (xy 33.02 151.13) (xy 33.02 153.67) + ) + (stroke + (width 0) + (type default) + ) + (uuid "70f0c5c2-a067-424e-a6d8-0e024672bc49") + ) + (wire + (pts + (xy 34.29 143.51) (xy 48.26 143.51) + ) + (stroke + (width 0) + (type default) + ) + (uuid "71d1d529-6805-4cb4-8bfa-2a150da2d295") + ) + (wire + (pts + (xy 115.57 170.18) (xy 118.11 170.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "71ec8fbf-9214-4b16-9781-0694f6c1f9fe") + ) + (wire + (pts + (xy 130.81 81.28) (xy 130.81 82.55) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7208f1ba-6623-4867-b512-a27a7317d0a4") + ) + (wire + (pts + (xy 15.24 66.04) (xy 26.67 66.04) + ) + (stroke + (width 0) + (type default) + ) + (uuid "738688c8-0252-472d-b920-323538da8e75") + ) + (wire + (pts + (xy 90.17 71.12) (xy 90.17 69.85) + ) + (stroke + (width 0) + (type default) + ) + (uuid "73879724-4867-4de8-b116-3e0a59ff1b60") + ) + (wire + (pts + (xy 36.83 73.66) (xy 34.29 73.66) + ) + (stroke + (width 0) + (type default) + ) + (uuid "74047600-4e79-406a-b3df-d4bc4384af16") + ) + (wire + (pts + (xy 154.94 138.43) (xy 156.21 138.43) + ) + (stroke + (width 0) + (type default) + ) + (uuid "74f5eba0-d762-4581-b4ed-0f85c1349232") + ) + (wire + (pts + (xy 228.6 119.38) (xy 231.14 116.84) + ) + (stroke + (width 0) + (type default) + ) + (uuid "753d732d-289b-4586-8011-b42fe621e928") + ) + (polyline + (pts + (xy 214.63 64.77) (xy 219.71 64.77) + ) + (stroke + (width 0) + (type default) + ) + (uuid "75e0d37b-76c7-4eff-8482-25701f11d34f") + ) + (wire + (pts + (xy 229.87 152.4) (xy 229.87 148.59) + ) + (stroke + (width 0) + (type default) + ) + (uuid "76c3952c-616d-4db3-8268-a8f52c0b6d40") + ) + (wire + (pts + (xy 68.58 172.72) (xy 68.58 177.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7893fdf9-b93d-4f25-9647-74e8dc27013d") + ) + (wire + (pts + (xy 129.54 177.8) (xy 144.78 177.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "79beb8e4-a24c-4a5e-b2a2-bc5d88033594") + ) + (wire + (pts + (xy 80.01 73.66) (xy 88.9 73.66) + ) + (stroke + (width 0) + (type default) + ) + (uuid "79ce7b4f-9126-4b66-91d8-c89eb0af2dee") + ) + (wire + (pts + (xy 246.38 71.12) (xy 246.38 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7c53527b-78e6-4d0c-820c-f255d5b70f97") + ) + (wire + (pts + (xy 135.89 160.02) (xy 144.78 160.02) + ) + (stroke + (width 0) + (type default) + ) + (uuid "803d05ec-421e-4ba7-a27b-81a4d7b6559b") + ) + (wire + (pts + (xy 90.17 76.2) (xy 80.01 76.2) + ) + (stroke + (width 0) + (type default) + ) + (uuid "82cbf4ec-9e43-45ca-a0c7-ee6b2009a512") + ) + (wire + (pts + (xy 246.38 72.39) (xy 248.92 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "851e217d-619a-442e-9ac5-871f5070887f") + ) + (wire + (pts + (xy 34.29 140.97) (xy 48.26 140.97) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8559704e-e728-4ec8-8629-7d7e9800ae71") + ) + (wire + (pts + (xy 204.47 69.85) (xy 214.63 69.85) + ) + (stroke + (width 0) + (type default) + ) + (uuid "85dd233e-3b2f-47b1-b470-71eb21de8a35") + ) + (wire + (pts + (xy 223.52 96.52) (xy 233.68 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "86b4f36d-50e7-4719-928f-dd6e4a9bbf55") + ) + (wire + (pts + (xy 121.92 85.09) (xy 121.92 87.63) + ) + (stroke + (width 0) + (type default) + ) + (uuid "87028265-d61c-4256-afde-06187db5f018") + ) + (wire + (pts + (xy 194.31 101.6) (xy 194.31 102.87) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8c7ae453-2af4-4d62-8539-7992572d7c7a") + ) + (wire + (pts + (xy 69.85 124.46) (xy 69.85 127) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8cee4fe9-bacd-4471-a634-be70b0591629") + ) + (wire + (pts + (xy 34.29 143.51) (xy 34.29 140.97) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8cfe8a6d-4253-451b-bcc5-7cbc9b48ca25") + ) + (wire + (pts + (xy 86.36 68.58) (xy 86.36 66.04) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8e6ba9c9-754e-44a0-b91c-0364e10ffb80") + ) + (wire + (pts + (xy 132.08 133.35) (xy 134.62 133.35) + ) + (stroke + (width 0) + (type default) + ) + (uuid "92032762-10bd-4686-9d4c-409dfbc8335b") + ) + (wire + (pts + (xy 194.31 85.09) (xy 194.31 87.63) + ) + (stroke + (width 0) + (type default) + ) + (uuid "921436f7-fe77-42da-88e5-d841d69e1be3") + ) + (wire + (pts + (xy 95.25 77.47) (xy 90.17 77.47) + ) + (stroke + (width 0) + (type default) + ) + (uuid "930a24d4-ea97-403b-b605-e9837f8ffcd5") + ) + (wire + (pts + (xy 204.47 72.39) (xy 214.63 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "93cb47f6-2fe9-4bc5-8bd5-6b27cabff465") + ) + (wire + (pts + (xy 90.17 69.85) (xy 95.25 69.85) + ) + (stroke + (width 0) + (type default) + ) + (uuid "94a5bcf7-fbe7-48e9-aa94-c44262ad3332") + ) + (wire + (pts + (xy 109.22 138.43) (xy 119.38 138.43) + ) + (stroke + (width 0) + (type default) + ) + (uuid "94bbad3f-9e94-4456-bf25-7e0ec0a863ed") + ) + (wire + (pts + (xy 132.08 138.43) (xy 142.24 138.43) + ) + (stroke + (width 0) + (type default) + ) + (uuid "95a632c3-55c4-4022-a424-3fd1093cd473") + ) + (wire + (pts + (xy 34.29 148.59) (xy 48.26 148.59) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9720f59d-6a9c-4c80-8af1-6ec2eee8c9b4") + ) + (wire + (pts + (xy 24.13 146.05) (xy 33.02 146.05) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9aa6d939-9e34-4ad6-a964-29ca96068030") + ) + (wire + (pts + (xy 97.79 27.94) (xy 113.03 27.94) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9ac2c5e6-c892-4d16-90e0-3aa176b28c44") + ) + (wire + (pts + (xy 130.81 80.01) (xy 130.81 81.28) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9d1495ee-29e1-4ca3-94c1-a62fc85a7d15") + ) + (wire + (pts + (xy 139.7 80.01) (xy 139.7 81.28) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a54bda39-cbcf-45ba-a538-a68d980ce4e4") + ) + (wire + (pts + (xy 130.81 71.12) (xy 130.81 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a73ff25b-f30f-43de-b649-04f7e899f935") + ) + (wire + (pts + (xy 226.06 83.82) (xy 233.68 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a8e2f338-11d7-4053-9e5a-d1cd664e09e0") + ) + (wire + (pts + (xy 135.89 165.1) (xy 144.78 165.1) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ab3f06cb-3762-45b0-aefd-0daece7777bc") + ) + (wire + (pts + (xy 135.89 182.88) (xy 144.78 182.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ac8fc3fb-ff7d-4e42-b64c-e8f95ef9adc4") + ) + (wire + (pts + (xy 34.29 148.59) (xy 34.29 143.51) + ) + (stroke + (width 0) + (type default) + ) + (uuid "af16db6e-d297-4358-9252-3b56970f31c1") + ) + (wire + (pts + (xy 80.01 68.58) (xy 86.36 68.58) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b05e8b84-6420-4fee-8882-c42c6acc9d2a") + ) + (wire + (pts + (xy 223.52 104.14) (xy 233.68 104.14) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b08475b3-0025-4578-95ab-cf29f0f7cebf") + ) + (wire + (pts + (xy 134.62 193.04) (xy 144.78 193.04) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b103cfa7-c601-4de4-a6b1-3037ca4f79d2") + ) + (wire + (pts + (xy 194.31 57.15) (xy 194.31 58.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b4d4b084-ce8d-4ea2-9033-f7d30fc848df") + ) + (wire + (pts + (xy 233.68 119.38) (xy 231.14 119.38) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b57ff112-8bdb-4539-b882-445135d9eab7") + ) + (wire + (pts + (xy 194.31 102.87) (xy 194.31 104.14) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b6f7c92c-fe5c-43b5-b5e5-932bfdbeba6e") + ) + (wire + (pts + (xy 130.81 81.28) (xy 139.7 81.28) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b97fe86e-9766-493f-8811-3315901747c7") + ) + (polyline + (pts + (xy 214.63 69.85) (xy 219.71 69.85) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bcb6e738-95dd-4dc0-9ec1-1c52607e9c19") + ) + (wire + (pts + (xy 49.53 111.76) (xy 49.53 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bcca8516-85e2-46e6-9533-12f6f7a3514d") + ) + (wire + (pts + (xy 34.29 66.04) (xy 36.83 66.04) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bd2e36fa-c5bc-462c-bab7-831fe3db9b00") + ) + (wire + (pts + (xy 33.02 146.05) (xy 48.26 146.05) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bd557cd7-0db4-4424-893f-e2176a89636f") + ) + (wire + (pts + (xy 115.57 160.02) (xy 118.11 160.02) + ) + (stroke + (width 0) + (type default) + ) + (uuid "be6d1f80-7719-4f2f-9e6a-38638be2ab66") + ) + (wire + (pts + (xy 33.02 153.67) (xy 33.02 156.21) + ) + (stroke + (width 0) + (type default) + ) + (uuid "be916145-4d74-4327-a8cd-870270517edb") + ) + (wire + (pts + (xy 132.08 140.97) (xy 142.24 140.97) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bef116cc-268e-4f56-a5be-964753098ce2") + ) + (wire + (pts + (xy 68.58 193.04) (xy 68.58 195.58) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c0c8c0ee-0e8c-46c5-9ab3-b63d61a69696") + ) + (wire + (pts + (xy 254 152.4) (xy 254 154.94) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c69b39aa-6ded-4907-8996-53b858b37dc5") + ) + (wire + (pts + (xy 105.41 160.02) (xy 105.41 162.56) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c6fae1fd-693d-4567-a400-c3a54b027808") + ) + (wire + (pts + (xy 80.01 63.5) (xy 113.03 63.5) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c8bd4b58-5a22-435c-81b1-818e4c935f99") + ) + (polyline + (pts + (xy 219.71 96.52) (xy 223.52 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ca4b162a-75a8-405f-ab1a-0498047c39ac") + ) + (wire + (pts + (xy 231.14 119.38) (xy 228.6 116.84) + ) + (stroke + (width 0) + (type default) + ) + (uuid "cb4a6cb0-5851-4106-a81c-c3bc60014661") + ) + (wire + (pts + (xy 194.31 58.42) (xy 194.31 59.69) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d0c8e873-1bd9-45a2-8c88-58acea4b34c5") + ) + (wire + (pts + (xy 135.89 157.48) (xy 144.78 157.48) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d0dae3f1-aa3f-4047-8154-54e4ffb7b5cd") + ) + (wire + (pts + (xy 231.14 116.84) (xy 233.68 116.84) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d2cc325e-ec0a-4f03-ab3f-5452cd22d2dd") + ) + (wire + (pts + (xy 125.73 160.02) (xy 128.27 160.02) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d4fac3bf-e639-414d-969a-2a66b1ee99fd") + ) + (polyline + (pts + (xy 214.63 67.31) (xy 219.71 67.31) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d54d6766-cf98-4a19-9e86-32c94eae57ce") + ) + (wire + (pts + (xy 166.37 138.43) (xy 166.37 140.97) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d6647104-02da-4f81-b01e-d9d5a7c9df12") + ) + (wire + (pts + (xy 109.22 143.51) (xy 119.38 143.51) + ) + (stroke + (width 0) + (type default) + ) + (uuid "db38f106-6b40-44ab-9e00-5144e5541cd2") + ) + (wire + (pts + (xy 67.31 124.46) (xy 69.85 124.46) + ) + (stroke + (width 0) + (type default) + ) + (uuid "dc50db22-4fab-4cd0-a11e-a9d00910e89f") + ) + (wire + (pts + (xy 193.04 58.42) (xy 194.31 58.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "dcb4df62-92dd-4452-b180-e7e26b80529e") + ) + (wire + (pts + (xy 204.47 124.46) (xy 233.68 124.46) + ) + (stroke + (width 0) + (type default) + ) + (uuid "de04b361-80fc-4e2c-b0b5-f68667959143") + ) + (wire + (pts + (xy 182.88 102.87) (xy 182.88 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e297158f-1c72-4fa9-9eb5-1d08244abb91") + ) + (wire + (pts + (xy 90.17 77.47) (xy 90.17 76.2) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e3d367d7-b134-4c88-af9d-724ca7ba45b7") + ) + (wire + (pts + (xy 259.08 83.82) (xy 267.97 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e5d8a6c7-11f4-4714-adc9-13b67d4c8d0d") + ) + (wire + (pts + (xy 135.89 154.94) (xy 144.78 154.94) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ea5e99b8-f4cc-4328-8dba-1f1554ba58cf") + ) + (wire + (pts + (xy 130.81 69.85) (xy 130.81 71.12) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ecaa668f-8d5e-4b84-aa00-6a0ad5764555") + ) + (wire + (pts + (xy 49.53 113.03) (xy 50.8 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f06f4703-bd78-4b5b-bba7-e61d43123829") + ) + (wire + (pts + (xy 24.13 138.43) (xy 34.29 138.43) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f0c5e2cc-9a28-4d81-9bac-cda8912a73c2") + ) + (polyline + (pts + (xy 219.71 106.68) (xy 219.71 64.77) + ) + (stroke + (width 0) + (type dot) + ) + (uuid "f139f8bc-6d0d-45bf-bcc3-0410fe48c754") + ) + (wire + (pts + (xy 223.52 106.68) (xy 233.68 106.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f759350c-fbae-43f3-bb76-a3dd6e733b9a") + ) + (wire + (pts + (xy 228.6 116.84) (xy 204.47 116.84) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f7a2c06c-cdf4-477c-86e8-a2b10a05dc4c") + ) + (wire + (pts + (xy 116.84 128.27) (xy 116.84 130.81) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f9869b46-564b-4ca6-a537-1216a61c7574") + ) + (wire + (pts + (xy 34.29 140.97) (xy 34.29 138.43) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fcb7383e-4567-4f60-9890-0609b859231a") + ) + (wire + (pts + (xy 102.87 69.85) (xy 106.68 69.85) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ff980149-bfdb-4ff8-9e81-e79284be3763") + ) + (text "-\n+\n-\n+\n\n-\n+\n-\n+\n\n-\n+\n-\n+" + (exclude_from_sim no) + (at 155.448 151.638 0) + (effects + (font + (size 1.5748 1.5748) + ) + (justify left top) + ) + (uuid "1b4345ad-51cf-4409-baf9-f53e2df70d87") + ) + (text "\n+\n-\n+\n-\n\n+\n-" + (exclude_from_sim no) + (at 57.912 137.668 0) + (effects + (font + (size 1.5748 1.5748) + ) + (justify left top) + ) + (uuid "a795d87b-e133-4dbf-9b14-27e807b320f9") + ) + (label "LV_RX-" + (at 119.38 77.47 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "0582e474-4bf4-4d1b-abed-819bde46674c") + ) + (label "LV_TX-" + (at 113.03 66.04 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "0621d316-ffb7-4afc-a12e-b9963df58439") + ) + (label "VREF" + (at 138.43 71.12 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "0d7a2df8-f812-4685-83ca-278c97f2c9fa") + ) + (label "PMOD5" + (at 135.89 157.48 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "157ce06f-496c-423d-8937-efc2fd537a52") + ) + (label "PMOD7" + (at 142.24 143.51 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "16192089-8c59-4f9f-805a-44ced86fb7d6") + ) + (label "CF_DI" + (at 204.47 119.38 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "1852cc12-0cb7-4a96-807d-8fa71f457a70") + ) + (label "LV_TX+" + (at 24.13 146.05 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "1a59bbb4-86f5-4c72-b0b0-f80dfc4415c4") + ) + (label "+3V3P" + (at 58.42 124.46 90) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "1b72e2c4-7c5e-435b-bf65-fcaec34232a5") + ) + (label "SERIAL_PC_IN" + (at 129.54 177.8 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "1bb121f9-b2d1-4eb8-af0c-13670038e5d8") + ) + (label "RAM_IO0" + (at 223.52 91.44 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "1da93ede-0ae8-4a68-8941-d61f3d871d57") + ) + (label "CLK_12M" + (at 129.54 175.26 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "24b0e1d1-43f9-41f2-8284-e3c77f5c6818") + ) + (label "CF_CLK" + (at 204.47 121.92 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "2a027a60-c391-4b47-ae3f-a82d9d47d38e") + ) + (label "CF_DO" + (at 204.47 116.84 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "2fc1be89-1156-4d21-b14d-3cd0482045b1") + ) + (label "~{CRESET}" + (at 232.41 152.4 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "356df720-b88c-418b-a3f8-1102ee29387b") + ) + (label "PMOD6" + (at 135.89 162.56 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "35de92df-964b-419c-a830-cd74c22fe226") + ) + (label "RAM_IO0" + (at 214.63 69.85 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "3796278f-e670-4b24-aeb3-fe15949f0fc5") + ) + (label "~{RAM_CS}" + (at 214.63 64.77 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "3d727509-f43c-44ef-ada7-cc1bd947d205") + ) + (label "ETH_LED1" + (at 134.62 190.5 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "41f45c0e-9314-4825-a18a-138deed5250b") + ) + (label "PMOD2" + (at 109.22 138.43 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "48bd659d-0a52-4db0-8613-3498cb17d05a") + ) + (label "PMOD6" + (at 109.22 143.51 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "4c31a1a3-5ec7-4a93-aa0b-c98f2c26cde9") + ) + (label "SERIAL_PC_OUT" + (at 129.54 172.72 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "4d9ab66e-324b-4e51-8860-a484b5f32df5") + ) + (label "RAM_IO2" + (at 214.63 74.93 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "4fd896f5-0f7d-4978-99fb-8f8b372fb356") + ) + (label "TX-" + (at 80.01 68.58 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "5232045d-1806-4bd1-b087-0385929c6afe") + ) + (label "RX-" + (at 80.01 76.2 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "53cfd824-c2d4-437e-b667-eaffe6053e83") + ) + (label "PMOD2" + (at 135.89 154.94 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "53fb6b9b-f219-43fc-99c4-53eea6be6e51") + ) + (label "LV_TX-" + (at 24.13 138.43 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "5a3d9930-8106-4933-b01b-b73bf05e14bc") + ) + (label "RAM_IO1" + (at 223.52 99.06 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "5bce802d-a61a-4104-9889-031542cf13d8") + ) + (label "PMOD4" + (at 135.89 160.02 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "5d77089d-591c-4ef5-b43f-ccb61c9a5c90") + ) + (label "PMOD0" + (at 109.22 135.89 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "634a9171-4a97-444d-9277-869bb400a39d") + ) + (label "RX+" + (at 80.01 71.12 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "689be49d-4712-406f-90e5-ccce1670028b") + ) + (label "~{RAM_CS}" + (at 223.52 106.68 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "6a76af5a-a2c2-4e3c-a7e2-c62543eae0a6") + ) + (label "PMOD0" + (at 223.52 109.22 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "6bd9ab00-ff91-485b-b320-9b182164e8ea") + ) + (label "ETH_LED1" + (at 15.24 76.2 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "729894f3-f96f-4e62-89f3-43f3f6164d6a") + ) + (label "LV_RX+" + (at 135.89 182.88 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "7c366734-abe5-401f-b656-ce032e927cfe") + ) + (label "VREF" + (at 88.9 73.66 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "8557a166-d6d4-4b5f-9dda-593f10a6ccf3") + ) + (label "CDONE" + (at 267.97 83.82 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "880e57f6-1317-4f84-867a-b1371fab19c5") + ) + (label "PMOD5" + (at 142.24 140.97 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "8c829f44-bddf-4249-a4ec-e053558d8388") + ) + (label "RAM_IO3" + (at 223.52 96.52 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "939fdfe7-3f7b-481a-9cc7-d34cab0459ed") + ) + (label "PMOD1" + (at 142.24 135.89 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "949b2a6e-d69d-4add-9ff5-20015e49bc83") + ) + (label "~{CRESET}" + (at 226.06 83.82 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "9c6de1fc-a41c-4c4d-9697-543bcd465ee1") + ) + (label "LV_RX-" + (at 135.89 185.42 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "9dc9a425-f0b1-4eb2-a4af-219c010054f4") + ) + (label "RAM_SCK" + (at 214.63 67.31 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "a1c48b4a-a64f-4b3b-b127-93f5a75defcf") + ) + (label "RAM_IO1" + (at 214.63 72.39 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "a1d85812-b09a-480c-a58a-137b246fdf29") + ) + (label "PMOD1" + (at 223.52 104.14 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "a5791ce9-e647-4950-9eaa-fea5cc9f8e39") + ) + (label "PMOD4" + (at 109.22 140.97 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "a7a49cf6-f5ba-4a88-b675-3bef80c5c9b0") + ) + (label "LV_RX+" + (at 119.38 69.85 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "a7c99551-b717-4c3b-9d10-a7596d36b598") + ) + (label "PMOD7" + (at 135.89 165.1 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "b274f080-512f-4919-aaf6-60f1c0c61fbe") + ) + (label "CF_IO3" + (at 204.47 111.76 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "b29a2984-e3e7-4487-a331-bb8e576b641e") + ) + (label "CLK_12M" + (at 88.9 185.42 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "b48117da-229d-41f7-bc90-bbd8288a751b") + ) + (label "RAM_IO3" + (at 214.63 77.47 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "b9925d63-b45b-4136-af96-443c1aad95e3") + ) + (label "ETH_LED0" + (at 134.62 193.04 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "bc874efa-b3b3-426c-a818-653e52c1a480") + ) + (label "LV_TX+" + (at 113.03 63.5 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "c2255845-e220-4c6d-b480-7ab1a07b4336") + ) + (label "PMOD3" + (at 142.24 138.43 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "c6e4a63a-83d2-4b60-b3a4-483ab64f3f1e") + ) + (label "RAM_IO2" + (at 223.52 101.6 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "cb05c476-4664-4aa7-9e82-b89147dd0ba9") + ) + (label "RAM_SCK" + (at 223.52 93.98 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "ccf87482-197d-44ec-82c9-8167a7a93adc") + ) + (label "TX+" + (at 80.01 63.5 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "e37f5501-66de-412d-ad1a-1e7868501815") + ) + (label "ETH_LED0" + (at 15.24 66.04 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "e65016ca-233e-4bf2-9077-342f5bbbc344") + ) + (label "~{CF_CS}" + (at 204.47 124.46 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "e77f287c-c2e7-49fa-804a-dfaf0697a853") + ) + (label "PMOD3" + (at 135.89 152.4 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "ed0242b7-51ce-4349-893a-131de4257c71") + ) + (label "SERIAL_PC_IN" + (at 113.03 30.48 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "f758ee35-7c7b-46f8-8b5b-e2a215c9afa7") + ) + (label "SERIAL_PC_OUT" + (at 113.03 27.94 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "fe040463-874c-49a3-a3d8-d2db136c8b23") + ) + (label "CF_IO2" + (at 204.47 114.3 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "feece678-59d2-4b4f-ae4c-161c5ae9096f") + ) + (symbol + (lib_id "power:GND") + (at 194.31 87.63 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "03795a96-1dcb-47f9-8aba-e105dfb33f08") + (property "Reference" "#PWR020" + (at 194.31 93.98 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 194.31 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 194.31 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 194.31 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 194.31 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "5b3d1b79-4dd5-47f9-90ac-5b9b50edb0b9") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR020") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector_Generic:Conn_02x06_Odd_Even") + (at 124.46 135.89 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0928e551-3785-4da3-9ec2-9ee43272146d") + (property "Reference" "J1" + (at 125.73 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "PMOD" + (at 125.73 127 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_2x06_P2.54mm_Horizontal" + (at 124.46 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 124.46 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, double row, 02x06, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" + (at 124.46 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "9" + (uuid "6daa4368-6d2d-4c50-b53f-e78990fdee7a") + ) + (pin "7" + (uuid "44060336-814e-4116-b274-f2497774421b") + ) + (pin "2" + (uuid "d23975a1-fbb3-45ff-a542-284cd878a176") + ) + (pin "4" + (uuid "41d40ebe-168a-4d67-ae61-877da05f6351") + ) + (pin "12" + (uuid "0400db5e-5451-4f57-b027-544a9cf03759") + ) + (pin "1" + (uuid "356b430c-2199-4805-b83a-02a6efae6e08") + ) + (pin "3" + (uuid "6ece7e7d-583d-4e0a-8e9f-65a2ca422749") + ) + (pin "10" + (uuid "dee1f810-432d-427c-ac5e-cb189bd5f45a") + ) + (pin "5" + (uuid "124426ae-6928-448b-8ca3-c9cf303ae740") + ) + (pin "11" + (uuid "c6c5675a-62d3-4231-bed5-e06130633127") + ) + (pin "6" + (uuid "5e6bb0e0-fe2b-4840-a85b-a5c7a34f785f") + ) + (pin "8" + (uuid "eef404c5-86a4-4476-b2c4-7fddafc15ae3") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "J1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 182.88 105.41 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "094f2d05-80f5-414e-b1f1-87530da413db") + (property "Reference" "#PWR026" + (at 182.88 111.76 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 182.88 110.49 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 182.88 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 182.88 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 182.88 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "2923cf23-20d8-4228-bfcc-6139fcc62cfc") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR026") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:LED") + (at 111.76 160.02 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "0a69fdd6-8e9d-400a-b4a6-3ccdc54cd71b") + (property "Reference" "D3" + (at 110.1725 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Power" + (at 110.1725 156.21 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "LED_SMD:LED_0603_1608Metric" + (at 111.76 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 111.76 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Light emitting diode" + (at 111.76 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "81c9f717-d5bc-4abe-aa34-672bc1839940") + ) + (pin "1" + (uuid "7f35bba5-0b4c-4207-bfab-0b82a087e1df") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "D3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 130.81 82.55 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0efde6d4-6e22-41f6-90b6-b465a1f9cdd8") + (property "Reference" "#PWR025" + (at 130.81 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 130.81 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 130.81 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 130.81 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 130.81 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b86d82b1-f98e-405a-93da-2649bcb331ef") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR025") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 134.62 133.35 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "12a980e8-562d-4f9f-a735-ca789b13f8a9") + (property "Reference" "#PWR09" + (at 140.97 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 138.43 133.3499 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 134.62 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 134.62 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 134.62 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "77833110-4565-4a3d-bf01-5c7492272f49") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR09") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 116.84 133.35 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "12f5e1f1-c2c5-4702-8bb2-cc484a6e266b") + (property "Reference" "#PWR017" + (at 110.49 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 113.03 133.3499 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 116.84 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 116.84 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 116.84 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b68b0570-6ab4-4a6d-b45b-71f314343b50") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR017") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 134.62 128.27 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "16b4b6cd-8341-49dd-9b32-41c84bda7f15") + (property "Reference" "#PWR08" + (at 134.62 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 134.62 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 134.62 128.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 134.62 128.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 134.62 128.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "db013618-143b-4234-8725-ced5654861a8") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR08") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 121.92 66.04 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "1bd1a903-6965-4cc1-af90-6b8e0fe60c17") + (property "Reference" "R6" + (at 124.46 64.7699 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "1k" + (at 124.46 67.3099 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 123.698 66.04 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 121.92 66.04 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 121.92 66.04 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "9ef674b1-51cb-4dc0-bda9-ed21ebaeb9d0") + ) + (pin "1" + (uuid "b584ff8b-da81-489b-90da-6df4eb728107") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "R6") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 116.84 128.27 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "1bf51e18-a24a-47b7-a956-3a280bd8f745") + (property "Reference" "#PWR07" + (at 116.84 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 116.84 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 116.84 128.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 116.84 128.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 116.84 128.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "99616b10-2d10-436b-9094-cbe678d9c924") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR07") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 130.81 59.69 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "1f1ec07a-3db5-46de-b0cd-a29098568071") + (property "Reference" "#PWR024" + (at 130.81 63.5 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 130.81 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 130.81 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 130.81 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 130.81 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "2f52df63-b2aa-4283-90ce-94aaa35b9d3e") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR024") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 194.31 132.08 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "2378d86e-41ed-42d0-9a10-9701062fdbcf") + (property "Reference" "#PWR021" + (at 194.31 138.43 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 194.31 137.16 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 194.31 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 194.31 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 194.31 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "c073cf67-bb22-4cb8-b817-62a6fd3c6be5") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR021") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 229.87 138.43 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "251433e6-9fae-4117-9f06-9e306b9970f2") + (property "Reference" "#PWR06" + (at 229.87 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 229.87 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 229.87 138.43 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 229.87 138.43 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 229.87 138.43 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "7024eafb-0741-41b1-b291-4c28760e5733") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR06") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 130.81 76.2 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "30303176-61b5-4511-888d-78140e9d3aa6") + (property "Reference" "R11" + (at 133.35 74.9299 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "1k" + (at 133.35 77.4699 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 129.032 76.2 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 130.81 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 130.81 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "475ad81a-58b0-4db6-9337-0f4b48042676") + ) + (pin "1" + (uuid "2593f348-b380-4e9c-ab8f-876b014621fa") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "R11") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 63.5 113.03 270) + (mirror x) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "377c1d92-8c52-48ed-b9cc-4e9cacfc2a56") + (property "Reference" "C3" + (at 63.5 107.188 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "1u" + (at 63.5 109.474 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" + (at 59.69 112.0648 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 63.5 113.03 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 63.5 113.03 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "daca6f85-cf8c-4dca-9def-b1dc0fa0e041") + ) + (pin "1" + (uuid "11b4019f-232a-431b-8e8e-6d844c0b3802") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "C3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 252.73 72.39 270) + (mirror x) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "3da6dc98-a416-4d55-b654-cf739b9a4cd3") + (property "Reference" "C14" + (at 252.73 66.548 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "100n" + (at 252.73 68.834 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 248.92 71.4248 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 252.73 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 252.73 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "aef72837-776a-44b2-b481-136cb22da955") + ) + (pin "1" + (uuid "2dc07c8b-457c-41ef-8701-10b6cf9a09e7") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "C14") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 121.92 81.28 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "412e9e48-50a6-41f1-9d5d-f9865df6255f") + (property "Reference" "R5" + (at 124.46 80.0099 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "1k" + (at 124.46 82.5499 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 123.698 81.28 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 121.92 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 121.92 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "33ab6909-8245-4940-8075-d0078aff3de8") + ) + (pin "1" + (uuid "4b1b94d3-cdba-4f23-9711-d975e5b04c0b") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "R5") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 106.68 73.66 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "444da31b-125a-4fec-bda6-83345e6ff695") + (property "Reference" "R4" + (at 109.22 72.3899 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "33" + (at 109.22 74.9299 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 108.458 73.66 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 106.68 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 106.68 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "880a5bb4-f1e2-477b-992d-619114bd8fd7") + ) + (pin "1" + (uuid "47c6eb8b-03dc-460f-891e-e4e01098491f") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "R4") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 246.38 71.12 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "4795884d-7688-4bd8-8611-1d58179c061f") + (property "Reference" "#PWR028" + (at 246.38 74.93 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 246.38 66.04 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 246.38 71.12 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 246.38 71.12 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 246.38 71.12 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "a9ac884c-7ddc-4757-9034-465cf19e3116") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR028") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 189.23 58.42 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "551dca3a-665f-445c-a82f-18fa1ef6a9d6") + (property "Reference" "C13" + (at 189.23 53.086 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "100n" + (at 189.23 55.118 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 193.04 57.4548 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 189.23 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 189.23 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "12b00dc2-5a91-46ee-a182-2dcf9f8043ba") + ) + (pin "1" + (uuid "c5fc1177-58cd-4fb1-868d-00093e52880c") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "C13") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Switch:SW_Push") + (at 246.38 152.4 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "5af9051a-0338-4e54-9df5-c7fff9c6a18d") + (property "Reference" "SW1" + (at 246.38 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Reset" + (at 246.38 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Button_Switch_SMD:SW_SPST_B3U-1000P" + (at 246.38 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 246.38 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Push button switch, generic, two pins" + (at 246.38 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "dfe19efe-6be6-4ba2-bfca-2ac895616d65") + ) + (pin "1" + (uuid "ac9a83c6-5716-4f99-b42c-148eb74b6401") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "SW1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 128.27 157.48 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "5de91132-a1d4-45a7-9267-fb8aef75daa0") + (property "Reference" "#PWR047" + (at 128.27 161.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 128.27 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 128.27 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 128.27 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 128.27 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "ad08a27e-2d36-4ff6-a3ae-4cff9e3879e4") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR047") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 121.92 160.02 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "63958989-5611-41cd-b270-e527b4ad55ae") + (property "Reference" "R13" + (at 121.92 153.67 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "1k" + (at 121.92 156.21 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 121.92 161.798 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 121.92 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 121.92 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "71645e38-67ec-4697-a5c1-2091935fdbe8") + ) + (pin "1" + (uuid "df9f288d-774c-4195-a4d4-c2fd8ebf9a82") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "R13") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 121.92 59.69 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "63ae0e02-1812-43f1-814f-194f534c2b26") + (property "Reference" "#PWR034" + (at 121.92 63.5 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 121.92 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 121.92 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 121.92 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 121.92 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "eb11a60e-4df0-48b9-9727-96a754715651") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR034") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 194.31 57.15 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "65c936c5-7d07-48b7-9197-4030a7f4217e") + (property "Reference" "#PWR022" + (at 194.31 60.96 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 194.31 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 194.31 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 194.31 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 194.31 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "7b4f5da7-ffea-4b98-9439-3254087500f9") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR022") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 194.31 101.6 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "666c8d73-fbc3-46d1-9981-ad87f3930f90") + (property "Reference" "#PWR023" + (at 194.31 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 194.31 96.52 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 194.31 101.6 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 194.31 101.6 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 194.31 101.6 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "eed699d3-d0f9-4436-9b51-3a8cf9e437b3") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR023") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 99.06 69.85 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "67ddcb05-26ff-46fe-aa33-c7a31444353c") + (property "Reference" "R2" + (at 99.06 72.39 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "33" + (at 99.06 69.85 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 99.06 71.628 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 99.06 69.85 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 99.06 69.85 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "456e6db5-3eb9-4eed-b41c-806885e59637") + ) + (pin "1" + (uuid "815415ea-f566-4c63-adf1-bc3cca93dcd7") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "R2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Memory_Flash:W25Q128JVS") + (at 194.31 116.84 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "69b0a4e2-604f-432f-b58b-9ee30ba6b1f6") + (property "Reference" "U7" + (at 185.42 118.1101 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "W25Q128JVS" + (at 185.42 115.5701 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_SO:SOIC-8_5.23x5.23mm_P1.27mm" + (at 194.31 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.winbond.com/resource-files/w25q128jv_dtr%20revc%2003272018%20plus.pdf" + (at 194.31 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "128Mb Serial Flash Memory, Standard/Dual/Quad SPI, SOIC-8" + (at 194.31 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "9e0470ba-afe0-4091-a717-52a4d88e3e64") + ) + (pin "4" + (uuid "a80e3565-89e1-4a8b-b0ca-6363fd34753b") + ) + (pin "6" + (uuid "74a080d1-d849-4e2e-9f9f-02c207060611") + ) + (pin "2" + (uuid "a858a0d4-8137-42aa-a67e-675fe4b3409c") + ) + (pin "3" + (uuid "85a80165-b315-412f-a938-45538b3d0931") + ) + (pin "7" + (uuid "d8989ac4-ef16-4455-b267-c8616618e638") + ) + (pin "5" + (uuid "037b574e-4824-4a7b-9ec8-b3a1f3c4f728") + ) + (pin "8" + (uuid "5eec2941-6c2f-430a-9b34-d5fe04e4bab7") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "U7") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "FPGA_Lattice:ICE40UP5K-SG48ITR") + (at 58.42 143.51 0) + (unit 3) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "6b22e46d-a371-4980-acbe-44b5b3480483") + (property "Reference" "U1" + (at 67.31 142.2399 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "ICE40UP5K-SG48ITR" + (at 67.31 144.7799 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" + (at 58.42 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.latticesemi.com/Products/FPGAandCPLD/iCE40Ultra" + (at 48.26 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "iCE40 UltraPlus FPGA, 5280 LUTs, 1.2V, 48-pin QFN" + (at 58.42 143.51 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "30" + (uuid "b346b28b-bb9d-4d53-ab83-9675f6cef3dc") + ) + (pin "5" + (uuid "74c564c3-3aae-4dfd-9488-5b2f66a5c7d4") + ) + (pin "29" + (uuid "3e878f41-7e87-4365-a6c9-baf85bf8638b") + ) + (pin "49" + (uuid "0a49622d-dd2b-48e7-8ed6-effe51606822") + ) + (pin "24" + (uuid "bef7a363-6246-42a6-b883-1aad3834529f") + ) + (pin "7" + (uuid "f8d29acd-be8e-48d7-a34c-3cfa7d8a9388") + ) + (pin "47" + (uuid "37111af6-4e14-4922-bf5a-a36bcdc30879") + ) + (pin "23" + (uuid "ff273b98-5ed4-42df-9852-b08c13f674d9") + ) + (pin "12" + (uuid "4580d763-ff53-44a5-abd2-5838fb3c1884") + ) + (pin "8" + (uuid "be331f5c-7128-494a-8fe6-009e3a505310") + ) + (pin "13" + (uuid "5443cc48-6fef-4c4e-940c-cf5f672fe483") + ) + (pin "16" + (uuid "b4255e7f-cfbc-49b1-8f79-a556e6ba564a") + ) + (pin "15" + (uuid "cb33552b-d7c2-46a2-a579-1ffa926b9139") + ) + (pin "46" + (uuid "c24ca549-dc51-4fd7-8e37-de6bb76da7d1") + ) + (pin "44" + (uuid "c79ed19e-dbca-41a4-93a3-e17bf54340b8") + ) + (pin "22" + (uuid "a3697001-b477-42d9-97bc-f8b43a48ecbb") + ) + (pin "31" + (uuid "542a11c0-e9b8-4dbc-b23d-a63b52ca1962") + ) + (pin "40" + (uuid "20772937-7d2e-455c-aa1d-19255cd7dd21") + ) + (pin "26" + (uuid "0feff625-6edf-4d42-a945-0704b2deb28f") + ) + (pin "9" + (uuid "d47047f4-4521-46ac-8e4c-c293c81441d3") + ) + (pin "34" + (uuid "767b4676-cf40-4d9b-ab65-66b3d03f9e9c") + ) + (pin "25" + (uuid "e69f7420-b5e5-4869-9b1f-b905cb78b1d7") + ) + (pin "3" + (uuid "37522307-8b3f-435a-b0c4-cfaf2f1af66e") + ) + (pin "39" + (uuid "ff2b47e4-a6c9-4410-8c22-e2b616ed9dd1") + ) + (pin "37" + (uuid "310f9381-91e4-47c8-93b2-59505b14b1ba") + ) + (pin "19" + (uuid "6ce6a504-cd61-488f-a5a8-a3a6f80ae75a") + ) + (pin "11" + (uuid "c11c99c3-7ecd-403c-b03d-e54e3e41e481") + ) + (pin "35" + (uuid "4db387da-704a-4099-9dcb-6188cf45b23b") + ) + (pin "36" + (uuid "68de0683-be0f-427c-b13c-0811397b8fd3") + ) + (pin "43" + (uuid "dc673c79-f485-4ad6-9d76-2228bb47b970") + ) + (pin "18" + (uuid "856ace89-dcf1-4974-abe3-15c6adbd4ee1") + ) + (pin "27" + (uuid "f2136b2d-1175-4bc0-ba81-c3483829a83e") + ) + (pin "45" + (uuid "fccc303c-0d0f-4e9a-b674-382d4618d365") + ) + (pin "4" + (uuid "d4a18807-ee52-4d9f-ac9f-7eb8b950951d") + ) + (pin "6" + (uuid "ab24b7c0-c6e1-46c8-a127-b1eb494f0837") + ) + (pin "41" + (uuid "2c56c059-3fa4-4599-bd78-a780c70b63dc") + ) + (pin "42" + (uuid "39ed07a4-faef-4d9b-9cf2-0a48af65dc8b") + ) + (pin "33" + (uuid "404adb93-70d7-42da-b926-d9b98dd07f37") + ) + (pin "38" + (uuid "1de29fd0-03c4-4126-a1a0-6bb3c00ae093") + ) + (pin "1" + (uuid "121f4736-e871-4d91-8a50-f60afa2a75ea") + ) + (pin "20" + (uuid "a40b0e13-7860-46db-aaa6-3c43980e903f") + ) + (pin "17" + (uuid "316fc7ae-5e8a-484a-95a1-4dc9d06b3684") + ) + (pin "21" + (uuid "d8d89713-4f5d-4c3a-b58f-f5996f67989a") + ) + (pin "48" + (uuid "1931c735-5ec8-49d2-a37c-a0f839906e3f") + ) + (pin "10" + (uuid "a5445bb8-fe99-4b1b-a1b4-3c4eef63c0b6") + ) + (pin "2" + (uuid "c6821614-c687-4841-8d4e-c137f5d98b0d") + ) + (pin "28" + (uuid "d320042b-a41d-4a63-8da2-094227e842a6") + ) + (pin "14" + (uuid "fb838d02-3717-4599-a8bc-bb5708db2281") + ) + (pin "32" + (uuid "2a485fc1-699c-42c9-bc35-ed62f4427ca9") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "U1") + (unit 3) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 160.02 138.43 270) + (mirror x) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "6ba92f36-4509-49bc-a0f4-13d50fae2b55") + (property "Reference" "C16" + (at 160.02 132.588 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "100n" + (at 160.02 134.874 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 156.21 137.4648 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 160.02 138.43 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 160.02 138.43 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "5a6ebdec-5c89-4694-b834-599139b57975") + ) + (pin "1" + (uuid "cfe82ff8-3fb1-4ded-bc59-17d21ebed8e4") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "C16") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 189.23 102.87 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "78d4edae-aade-4399-996c-c47ea7795f31") + (property "Reference" "C12" + (at 189.23 97.028 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "100n" + (at 189.23 99.314 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 193.04 101.9048 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 189.23 102.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 189.23 102.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "8dc7e890-88c5-4e4e-9bb5-98f7b19f05bd") + ) + (pin "1" + (uuid "0c2931ef-8db5-4040-901d-af687343f8f4") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "C12") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 49.53 111.76 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "809df820-f78d-443a-b052-bf81d7095287") + (property "Reference" "#PWR032" + (at 49.53 115.57 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 49.53 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 49.53 111.76 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 49.53 111.76 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 49.53 111.76 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "40d2666d-886a-4908-b83b-d32b233f2a68") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR032") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 34.29 71.12 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "820e779d-b909-4fea-85e9-c1a0f7a44575") + (property "Reference" "#PWR037" + (at 34.29 74.93 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 30.734 69.85 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 34.29 71.12 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 34.29 71.12 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 34.29 71.12 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "12195dd9-d809-4f12-8bf2-832c051e24eb") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR037") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "FPGA_Lattice:ICE40UP5K-SG48ITR") + (at 154.94 167.64 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "8488306a-773a-48c8-99fa-d3bf77a03742") + (property "Reference" "U1" + (at 163.83 167.6399 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "ICE40UP5K-SG48ITR" + (at 163.83 170.1799 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" + (at 154.94 201.93 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.latticesemi.com/Products/FPGAandCPLD/iCE40Ultra" + (at 144.78 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "iCE40 UltraPlus FPGA, 5280 LUTs, 1.2V, 48-pin QFN" + (at 154.94 167.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "30" + (uuid "b346b28b-bb9d-4d53-ab83-9675f6cef3dd") + ) + (pin "5" + (uuid "74c564c3-3aae-4dfd-9488-5b2f66a5c7d5") + ) + (pin "29" + (uuid "3e878f41-7e87-4365-a6c9-baf85bf8638c") + ) + (pin "49" + (uuid "0a49622d-dd2b-48e7-8ed6-effe51606823") + ) + (pin "24" + (uuid "bef7a363-6246-42a6-b883-1aad383452a0") + ) + (pin "7" + (uuid "f8d29acd-be8e-48d7-a34c-3cfa7d8a9389") + ) + (pin "47" + (uuid "37111af6-4e14-4922-bf5a-a36bcdc3087a") + ) + (pin "23" + (uuid "ff273b98-5ed4-42df-9852-b08c13f674da") + ) + (pin "12" + (uuid "4580d763-ff53-44a5-abd2-5838fb3c1885") + ) + (pin "8" + (uuid "be331f5c-7128-494a-8fe6-009e3a505311") + ) + (pin "13" + (uuid "5443cc48-6fef-4c4e-940c-cf5f672fe484") + ) + (pin "16" + (uuid "b4255e7f-cfbc-49b1-8f79-a556e6ba564b") + ) + (pin "15" + (uuid "cb33552b-d7c2-46a2-a579-1ffa926b913a") + ) + (pin "46" + (uuid "c24ca549-dc51-4fd7-8e37-de6bb76da7d2") + ) + (pin "44" + (uuid "c79ed19e-dbca-41a4-93a3-e17bf54340b9") + ) + (pin "22" + (uuid "a3697001-b477-42d9-97bc-f8b43a48ecbc") + ) + (pin "31" + (uuid "542a11c0-e9b8-4dbc-b23d-a63b52ca1963") + ) + (pin "40" + (uuid "20772937-7d2e-455c-aa1d-19255cd7dd22") + ) + (pin "26" + (uuid "0feff625-6edf-4d42-a945-0704b2deb290") + ) + (pin "9" + (uuid "d47047f4-4521-46ac-8e4c-c293c81441d4") + ) + (pin "34" + (uuid "767b4676-cf40-4d9b-ab65-66b3d03f9e9d") + ) + (pin "25" + (uuid "e69f7420-b5e5-4869-9b1f-b905cb78b1d8") + ) + (pin "3" + (uuid "37522307-8b3f-435a-b0c4-cfaf2f1af66f") + ) + (pin "39" + (uuid "ff2b47e4-a6c9-4410-8c22-e2b616ed9dd2") + ) + (pin "37" + (uuid "310f9381-91e4-47c8-93b2-59505b14b1bb") + ) + (pin "19" + (uuid "6ce6a504-cd61-488f-a5a8-a3a6f80ae75b") + ) + (pin "11" + (uuid "c11c99c3-7ecd-403c-b03d-e54e3e41e482") + ) + (pin "35" + (uuid "4db387da-704a-4099-9dcb-6188cf45b23c") + ) + (pin "36" + (uuid "68de0683-be0f-427c-b13c-0811397b8fd4") + ) + (pin "43" + (uuid "dc673c79-f485-4ad6-9d76-2228bb47b971") + ) + (pin "18" + (uuid "856ace89-dcf1-4974-abe3-15c6adbd4ee2") + ) + (pin "27" + (uuid "f2136b2d-1175-4bc0-ba81-c3483829a83f") + ) + (pin "45" + (uuid "fccc303c-0d0f-4e9a-b674-382d4618d366") + ) + (pin "4" + (uuid "d4a18807-ee52-4d9f-ac9f-7eb8b950951e") + ) + (pin "6" + (uuid "ab24b7c0-c6e1-46c8-a127-b1eb494f0838") + ) + (pin "41" + (uuid "2c56c059-3fa4-4599-bd78-a780c70b63dd") + ) + (pin "42" + (uuid "39ed07a4-faef-4d9b-9cf2-0a48af65dc8c") + ) + (pin "33" + (uuid "404adb93-70d7-42da-b926-d9b98dd07f38") + ) + (pin "38" + (uuid "1de29fd0-03c4-4126-a1a0-6bb3c00ae094") + ) + (pin "1" + (uuid "121f4736-e871-4d91-8a50-f60afa2a75eb") + ) + (pin "20" + (uuid "a40b0e13-7860-46db-aaa6-3c43980e9040") + ) + (pin "17" + (uuid "316fc7ae-5e8a-484a-95a1-4dc9d06b3685") + ) + (pin "21" + (uuid "d8d89713-4f5d-4c3a-b58f-f5996f67989b") + ) + (pin "48" + (uuid "1931c735-5ec8-49d2-a37c-a0f839906e40") + ) + (pin "10" + (uuid "a5445bb8-fe99-4b1b-a1b4-3c4eef63c0b7") + ) + (pin "2" + (uuid "c6821614-c687-4841-8d4e-c137f5d98b0e") + ) + (pin "28" + (uuid "d320042b-a41d-4a63-8da2-094227e842a7") + ) + (pin "14" + (uuid "fb838d02-3717-4599-a8bc-bb5708db2282") + ) + (pin "32" + (uuid "2a485fc1-699c-42c9-bc35-ed62f4427caa") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "U1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 68.58 195.58 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "84d3b671-0aee-4d76-b0a4-18057c346f8b") + (property "Reference" "#PWR042" + (at 68.58 201.93 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 68.58 200.66 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 68.58 195.58 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 68.58 195.58 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 68.58 195.58 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "694cdb33-70ff-409b-a6ee-80b4674e095d") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR042") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 63.5 124.46 270) + (mirror x) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "85738ebe-fefd-43e8-b150-c2a391b668e2") + (property "Reference" "C15" + (at 63.5 118.618 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "100n" + (at 63.5 120.904 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 59.69 123.4948 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 63.5 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 63.5 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "a69617ff-1b26-4095-b89e-1c77e88f7b2e") + ) + (pin "1" + (uuid "e4c2da1f-e8d8-44de-9cbe-060f1981cbc4") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "C15") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 34.29 60.96 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "9b35ccd8-335a-4ca5-8727-24a03b4696b6") + (property "Reference" "#PWR036" + (at 34.29 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 34.29 55.88 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 34.29 60.96 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 34.29 60.96 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 34.29 60.96 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "7c113d64-cb30-4a5f-96e6-4dffe1bd13e4") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR036") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 99.06 77.47 90) + (mirror x) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "9b88c849-7ca6-4920-b489-d46c16a4ea59") + (property "Reference" "R3" + (at 99.06 75.184 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "33" + (at 99.06 77.47 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 99.06 75.692 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 99.06 77.47 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 99.06 77.47 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "68975f3c-d77d-44a5-9a77-8383d1102518") + ) + (pin "1" + (uuid "3072d32a-62e8-4308-b0f9-eae95467a639") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "R3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 68.58 172.72 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "9daa0800-f0c0-42c7-8732-79266a0a6056") + (property "Reference" "#PWR041" + (at 68.58 176.53 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 68.58 167.64 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 68.58 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 68.58 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 68.58 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "e64110a7-dca2-4676-80a8-1ba17eb0d419") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR041") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:RJ45_Amphenol_RJMG1BD3B8K1ANR") + (at 59.69 71.12 0) + (mirror y) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "a03fa50a-a4b2-4f37-8acb-d311b6e47009") + (property "Reference" "J2" + (at 58.42 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "RJ45_Amphenol_RJMG1BD3B8K1ANR" + (at 58.42 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_RJ:RJ45_Amphenol_RJMG1BD3B8K1ANR" + (at 59.69 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.amphenol-cs.com/media/wysiwyg/files/drawing/rjmg1bd3b8k1anr.pdf" + (at 59.69 55.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "1 Port RJ45 Magjack Connector Through Hole 10/100 Base-T, AutoMDIX" + (at 59.69 71.12 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "R6" + (uuid "b0041cfa-47ef-4fde-abeb-08ca6285945c") + ) + (pin "R1" + (uuid "a9b3ad11-240c-489f-b424-f3495ad5aeb7") + ) + (pin "R8" + (uuid "187877b5-c321-448a-b61d-be404e2e2e79") + ) + (pin "L4" + (uuid "0721fbf5-900b-4a3c-98f7-9c13e39c8e58") + ) + (pin "SH" + (uuid "9720ab67-a867-4887-8433-30645b3ef221") + ) + (pin "R3" + (uuid "91dbd5fc-5fa5-49c4-bcad-c85d32b618bd") + ) + (pin "L1" + (uuid "a10618fb-db1e-43ea-a3fe-deeb24afd1d3") + ) + (pin "R7" + (uuid "b9feb118-7a7e-4d2b-83a3-1471c0cac2c5") + ) + (pin "L3" + (uuid "5e37d19b-6fd8-4757-b458-0b764563935d") + ) + (pin "L2" + (uuid "b7c247df-c426-4e65-b56a-9fac9ff24a41") + ) + (pin "R5" + (uuid "7c112e2b-909f-4152-9292-c10f99288d9a") + ) + (pin "R2" + (uuid "591b53ec-2e8f-4cd7-95fa-c4b4b65c9335") + ) + (pin "R4" + (uuid "6096985c-1204-432a-9885-a4832bcb6f41") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "J2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 130.81 66.04 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "a06893f9-9e91-4991-8f1a-bdc1bbaef41f") + (property "Reference" "R10" + (at 133.35 64.7699 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "1k" + (at 133.35 67.3099 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 129.032 66.04 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 130.81 66.04 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 130.81 66.04 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "ead6ef7f-9fea-46f3-81d6-bdc30c887449") + ) + (pin "1" + (uuid "94c5938e-8653-4e4a-b79b-375af45cb4f6") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "R10") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:TestPoint") + (at 267.97 83.82 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "a400429e-b4f4-4e70-8514-9b2fe5ab67c1") + (property "Reference" "TP1" + (at 273.05 82.5499 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "CDONE" + (at 273.05 85.0899 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "trashernet_soc:TestPoint_Pad_0.5x0.5mm" + (at 267.97 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 267.97 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "test point" + (at 267.97 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "dcead9bd-308a-4480-a81e-541e9a4e9b63") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "TP1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 121.92 170.18 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "aa3c0d1b-a404-480c-aad1-9e5b15cca512") + (property "Reference" "R12" + (at 121.92 163.83 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "1k" + (at 121.92 166.37 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 121.92 171.958 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 121.92 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 121.92 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "b5620dd6-a2f3-4482-b669-0c5a65f57170") + ) + (pin "1" + (uuid "97ec3014-841d-4bd9-8cf8-bf8953a39f9a") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "R12") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 105.41 172.72 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ac46f62f-0cd5-4fea-8b09-1ad8c56fd435") + (property "Reference" "#PWR044" + (at 105.41 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 105.41 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 105.41 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 105.41 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 105.41 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "6443b6bd-c484-4bed-88f9-50d012fec0c0") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR044") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 182.88 60.96 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "af740153-61a2-4ceb-85b1-615ca4bd3ff9") + (property "Reference" "#PWR027" + (at 182.88 67.31 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 182.88 66.04 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 182.88 60.96 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 182.88 60.96 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 182.88 60.96 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "11be1a60-82f9-4e74-9198-3c657f75b145") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR027") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 30.48 76.2 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "b30ef012-08df-4fac-b5c6-f32dc941cca7") + (property "Reference" "R8" + (at 30.48 73.914 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "1k" + (at 30.48 76.2 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 30.48 74.422 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 30.48 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 30.48 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "86641c5e-2c54-4f8d-903e-eb457760621a") + ) + (pin "1" + (uuid "336dd7c7-4952-456d-be71-96e5c3e00656") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "R8") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 229.87 144.78 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "c0b1828d-f53c-41a3-9b63-cc9183f7d8e3") + (property "Reference" "R9" + (at 232.41 143.5099 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "1k" + (at 232.41 146.0499 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 228.092 144.78 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 229.87 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 229.87 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "9abcdf9c-2825-4058-8db9-3426ab6f5e28") + ) + (pin "2" + (uuid "d9a9c5b4-35e4-47fa-8fa4-e4f2159a9bf3") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "R9") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 69.85 127 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "c94a36c3-c98b-42fa-9ddb-2118111979df") + (property "Reference" "#PWR030" + (at 69.85 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 69.85 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 69.85 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 69.85 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 69.85 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "bd13d99e-8361-4dfd-ad41-cbedeb44c735") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR030") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 30.48 66.04 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "c96f8a2d-46d3-4b06-bc17-b92fea96c2a2") + (property "Reference" "R7" + (at 30.48 63.754 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "1k" + (at 30.48 66.04 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 30.48 64.262 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 30.48 66.04 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 30.48 66.04 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "28d313b6-1f06-41ea-a84c-85daee9b49fa") + ) + (pin "1" + (uuid "94d04429-3af2-4482-b720-086db042e30e") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "R7") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 166.37 140.97 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "cb8b9ade-2a9d-4fd9-abbe-12955b49265a") + (property "Reference" "#PWR031" + (at 166.37 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 166.37 146.05 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 166.37 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 166.37 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 166.37 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "c553a729-3914-43b4-a05f-6479b2b6f209") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR031") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 105.41 162.56 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "d0febef8-21f5-47f8-adc0-59d19adb18b6") + (property "Reference" "#PWR045" + (at 105.41 168.91 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 105.41 167.64 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 105.41 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 105.41 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 105.41 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "c9efc42a-3870-4325-b08d-747642a7433c") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR045") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 139.7 76.2 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "d6a13b8a-9604-4cc1-9987-b79f1f093415") + (property "Reference" "C4" + (at 143.51 74.9299 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100n" + (at 143.51 77.4699 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 140.6652 80.01 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 139.7 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 139.7 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "c30277f6-9ab1-499d-b9e4-15dfb250cadd") + ) + (pin "2" + (uuid "476510cd-a097-4bfc-9ff2-594786074052") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "C4") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "FPGA_Lattice:ICE40UP5K-SG48ITR") + (at 246.38 101.6 0) + (unit 2) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "dc6cfbac-6e82-428a-b037-174d0ec06712") + (property "Reference" "U1" + (at 246.38 129.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "ICE40UP5K-SG48ITR" + (at 246.38 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" + (at 246.38 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.latticesemi.com/Products/FPGAandCPLD/iCE40Ultra" + (at 236.22 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "iCE40 UltraPlus FPGA, 5280 LUTs, 1.2V, 48-pin QFN" + (at 246.38 101.6 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "30" + (uuid "b346b28b-bb9d-4d53-ab83-9675f6cef3de") + ) + (pin "5" + (uuid "74c564c3-3aae-4dfd-9488-5b2f66a5c7d6") + ) + (pin "29" + (uuid "3e878f41-7e87-4365-a6c9-baf85bf8638d") + ) + (pin "49" + (uuid "0a49622d-dd2b-48e7-8ed6-effe51606824") + ) + (pin "24" + (uuid "bef7a363-6246-42a6-b883-1aad383452a1") + ) + (pin "7" + (uuid "f8d29acd-be8e-48d7-a34c-3cfa7d8a938a") + ) + (pin "47" + (uuid "37111af6-4e14-4922-bf5a-a36bcdc3087b") + ) + (pin "23" + (uuid "ff273b98-5ed4-42df-9852-b08c13f674db") + ) + (pin "12" + (uuid "4580d763-ff53-44a5-abd2-5838fb3c1886") + ) + (pin "8" + (uuid "be331f5c-7128-494a-8fe6-009e3a505312") + ) + (pin "13" + (uuid "5443cc48-6fef-4c4e-940c-cf5f672fe485") + ) + (pin "16" + (uuid "b4255e7f-cfbc-49b1-8f79-a556e6ba564c") + ) + (pin "15" + (uuid "cb33552b-d7c2-46a2-a579-1ffa926b913b") + ) + (pin "46" + (uuid "c24ca549-dc51-4fd7-8e37-de6bb76da7d3") + ) + (pin "44" + (uuid "c79ed19e-dbca-41a4-93a3-e17bf54340ba") + ) + (pin "22" + (uuid "a3697001-b477-42d9-97bc-f8b43a48ecbd") + ) + (pin "31" + (uuid "542a11c0-e9b8-4dbc-b23d-a63b52ca1964") + ) + (pin "40" + (uuid "20772937-7d2e-455c-aa1d-19255cd7dd23") + ) + (pin "26" + (uuid "0feff625-6edf-4d42-a945-0704b2deb291") + ) + (pin "9" + (uuid "d47047f4-4521-46ac-8e4c-c293c81441d5") + ) + (pin "34" + (uuid "767b4676-cf40-4d9b-ab65-66b3d03f9e9e") + ) + (pin "25" + (uuid "e69f7420-b5e5-4869-9b1f-b905cb78b1d9") + ) + (pin "3" + (uuid "37522307-8b3f-435a-b0c4-cfaf2f1af670") + ) + (pin "39" + (uuid "ff2b47e4-a6c9-4410-8c22-e2b616ed9dd3") + ) + (pin "37" + (uuid "310f9381-91e4-47c8-93b2-59505b14b1bc") + ) + (pin "19" + (uuid "6ce6a504-cd61-488f-a5a8-a3a6f80ae75c") + ) + (pin "11" + (uuid "c11c99c3-7ecd-403c-b03d-e54e3e41e483") + ) + (pin "35" + (uuid "4db387da-704a-4099-9dcb-6188cf45b23d") + ) + (pin "36" + (uuid "68de0683-be0f-427c-b13c-0811397b8fd5") + ) + (pin "43" + (uuid "dc673c79-f485-4ad6-9d76-2228bb47b972") + ) + (pin "18" + (uuid "856ace89-dcf1-4974-abe3-15c6adbd4ee3") + ) + (pin "27" + (uuid "f2136b2d-1175-4bc0-ba81-c3483829a840") + ) + (pin "45" + (uuid "fccc303c-0d0f-4e9a-b674-382d4618d367") + ) + (pin "4" + (uuid "d4a18807-ee52-4d9f-ac9f-7eb8b950951f") + ) + (pin "6" + (uuid "ab24b7c0-c6e1-46c8-a127-b1eb494f0839") + ) + (pin "41" + (uuid "2c56c059-3fa4-4599-bd78-a780c70b63de") + ) + (pin "42" + (uuid "39ed07a4-faef-4d9b-9cf2-0a48af65dc8d") + ) + (pin "33" + (uuid "404adb93-70d7-42da-b926-d9b98dd07f39") + ) + (pin "38" + (uuid "1de29fd0-03c4-4126-a1a0-6bb3c00ae095") + ) + (pin "1" + (uuid "121f4736-e871-4d91-8a50-f60afa2a75ec") + ) + (pin "20" + (uuid "a40b0e13-7860-46db-aaa6-3c43980e9041") + ) + (pin "17" + (uuid "316fc7ae-5e8a-484a-95a1-4dc9d06b3686") + ) + (pin "21" + (uuid "d8d89713-4f5d-4c3a-b58f-f5996f67989c") + ) + (pin "48" + (uuid "1931c735-5ec8-49d2-a37c-a0f839906e41") + ) + (pin "10" + (uuid "a5445bb8-fe99-4b1b-a1b4-3c4eef63c0b8") + ) + (pin "2" + (uuid "c6821614-c687-4841-8d4e-c137f5d98b0f") + ) + (pin "28" + (uuid "d320042b-a41d-4a63-8da2-094227e842a8") + ) + (pin "14" + (uuid "fb838d02-3717-4599-a8bc-bb5708db2283") + ) + (pin "32" + (uuid "2a485fc1-699c-42c9-bc35-ed62f4427cab") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "U1") + (unit 2) + ) + ) + ) + ) + (symbol + (lib_id "Device:LED") + (at 111.76 170.18 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "e14e1c54-fc4e-49b0-ba7f-fff46065298d") + (property "Reference" "D1" + (at 110.1725 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "User" + (at 110.1725 166.37 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "LED_SMD:LED_0603_1608Metric" + (at 111.76 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 111.76 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Light emitting diode" + (at 111.76 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "b402f0b6-f94c-477d-8d58-10392dff077e") + ) + (pin "1" + (uuid "ae8166c5-fb14-4e87-b265-048eeafb70f5") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "D1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Memory_Flash:AT25SF081-SSHD-X") + (at 194.31 72.39 0) + (mirror y) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "e70ce37b-d662-4d04-aaab-4ed028b0823c") + (property "Reference" "U6" + (at 185.42 71.1199 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "AP6404L-3SQR-SN" + (at 185.42 73.6599 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" + (at 194.31 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.adestotech.com/wp-content/uploads/DS-AT25SF081_045.pdf" + (at 194.31 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "8-Mbit, 2.5V Minimum SPI Serial Flash Memory with Dual-I/O and Quad-I/O Support, SOIC-8" + (at 194.31 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "3" + (uuid "776bca0d-43dc-4fd8-aff7-22d02221aa8a") + ) + (pin "1" + (uuid "7540642d-d144-40f3-aa52-3e1b5643e799") + ) + (pin "8" + (uuid "bd1de0e3-d0b4-45cb-9037-90fc9d75ff06") + ) + (pin "4" + (uuid "80edd60e-2dba-47d3-ba07-c4b7ddd2f5b2") + ) + (pin "6" + (uuid "c7a64630-0ffa-4493-85ab-08a424f6c0d3") + ) + (pin "5" + (uuid "3b9117b4-be86-4ffd-8d37-d98cf00d9c58") + ) + (pin "7" + (uuid "e7aa1908-6599-4f5f-9e78-37969bbd37e2") + ) + (pin "2" + (uuid "637f1d6e-d2b7-4e1f-88b6-4632fec0c32d") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "U6") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:L") + (at 54.61 113.03 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "e739f31e-2445-4a64-8d1d-4e880e87ab6f") + (property "Reference" "L1" + (at 54.61 107.95 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "L" + (at 54.61 110.49 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Inductor_SMD:L_0805_2012Metric" + (at 54.61 113.03 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 54.61 113.03 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Inductor" + (at 54.61 113.03 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "78068c57-49ad-4a5e-91ad-fc55fc1e84cf") + ) + (pin "2" + (uuid "dddc6c46-a36e-4055-92bb-775c31f41e8f") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "L1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 154.94 135.89 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "e8fd0c9c-638b-461f-b4f3-f1445d388622") + (property "Reference" "#PWR033" + (at 154.94 139.7 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 154.94 130.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 154.94 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 154.94 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 154.94 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "2a536e7a-9c2f-4e90-b5dc-524346f6dc9e") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR033") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 99.06 66.04 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "ebf2142d-c68f-447e-811e-caadd5347cf2") + (property "Reference" "R1" + (at 99.06 63.5 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "33" + (at 99.06 66.04 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric" + (at 99.06 67.818 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 99.06 66.04 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 99.06 66.04 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "1dffa9ec-8216-4fdc-99d8-f1b3bf306851") + ) + (pin "1" + (uuid "e73b6913-a2b6-4c19-8623-bbeb08afba2b") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "R1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 121.92 87.63 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f3ad5c4c-b8f3-4c69-aaa8-50f9abf30546") + (property "Reference" "#PWR035" + (at 121.92 93.98 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 121.92 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 121.92 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 121.92 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 121.92 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "91150659-e6f5-4e45-82eb-4532461ab2dc") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR035") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Oscillator:ASCO") + (at 68.58 185.42 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "fcb639c7-2ae4-493a-aafa-e5cbcb33c52a") + (property "Reference" "X1" + (at 62.23 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "12MHz" + (at 72.898 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Oscillator:Oscillator_SMD_Abracon_ASCO-4Pin_1.6x1.2mm" + (at 71.12 194.31 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://abracon.com/Oscillators/ASCO.pdf" + (at 62.865 182.245 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Crystal Clock Oscillator, Abracon ASCO" + (at 68.58 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "eea9e721-b3c2-4993-9821-82bf6adab88b") + ) + (pin "3" + (uuid "bc173c01-f74d-40e5-9b22-dea164db40d0") + ) + (pin "1" + (uuid "1c1dc644-71c3-4101-bd56-0534a706db04") + ) + (pin "4" + (uuid "274a6f41-31aa-4f82-8f52-b76603054c77") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "X1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 259.08 74.93 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "fd953a10-8fea-46b9-b263-9bc4e6c78a72") + (property "Reference" "#PWR029" + (at 259.08 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 259.08 80.01 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 259.08 74.93 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 259.08 74.93 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 259.08 74.93 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "1e6027d7-cd45-43e3-a25e-b93f451eb732") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR029") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 254 154.94 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "fe0e49fa-6b81-41e1-8e6c-47f9ec8199ed") + (property "Reference" "#PWR05" + (at 254 161.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 254 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 254 154.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 254 154.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 254 154.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "9dcbcc74-2416-4ef9-af5b-7ace403af3a5") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "#PWR05") + (unit 1) + ) + ) + ) + ) + (sheet + (at 58.42 15.24) + (size 39.37 29.21) + (fields_autoplaced yes) + (stroke + (width 0.1524) + (type solid) + ) + (fill + (color 0 0 0 0.0000) + ) + (uuid "a567741c-bfe0-4737-b224-2172f1c1bd58") + (property "Sheetname" "USB" + (at 58.42 14.5284 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + ) + (property "Sheetfile" "usb.kicad_sch" + (at 58.42 45.0346 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left top) + ) + ) + (pin "SERIAL_PC_OUT" output + (at 97.79 27.94 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "f6ff4694-477f-431e-af15-56c605fa4807") + ) + (pin "SERIAL_PC_IN" input + (at 97.79 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "4139dc4f-451c-4ee2-b335-ac57e04fe514") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (page "3") + ) + ) + ) + ) + (sheet + (at 15.24 15.24) + (size 40.64 29.21) + (fields_autoplaced yes) + (stroke + (width 0.1524) + (type solid) + ) + (fill + (color 0 0 0 0.0000) + ) + (uuid "ac2900c4-bddc-485c-b46e-ddd13f5b0b97") + (property "Sheetname" "power" + (at 15.24 14.5284 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + ) + (property "Sheetfile" "power.kicad_sch" + (at 15.24 45.0346 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left top) + ) + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (page "2") + ) + ) + ) + ) + (sheet_instances + (path "/" + (page "1") + ) + ) +) diff --git a/kicad/trashernet_soc.kicad_sch-bak b/kicad/trashernet_soc.kicad_sch-bak new file mode 100644 index 0000000..8d11255 --- /dev/null +++ b/kicad/trashernet_soc.kicad_sch-bak @@ -0,0 +1,2092 @@ +(kicad_sch + (version 20231120) + (generator "eeschema") + (generator_version "8.0") + (uuid "6f17954a-662c-4681-9d8a-117fc0975850") + (paper "A4") + (lib_symbols + (symbol "FPGA_Lattice:ICE40UP5K-SG48ITR" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -8.89 -29.21 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "ICE40UP5K-SG48ITR" + (at 0 -31.75 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" + (at 0 -34.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.latticesemi.com/Products/FPGAandCPLD/iCE40Ultra" + (at -10.16 25.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "iCE40 UltraPlus FPGA, 5280 LUTs, 1.2V, 48-pin QFN" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "FPGA programmable logic" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "ICE40UP5K-SG48ITR_1_1" + (rectangle + (start -7.62 25.4) + (end 7.62 -27.94) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin bidirectional line + (at -10.16 12.7 0) + (length 2.54) + (name "IOT_37a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "23" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 15.24 0) + (length 2.54) + (name "IOT_36b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "25" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 7.62 0) + (length 2.54) + (name "IOT_39a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "26" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 10.16 0) + (length 2.54) + (name "IOT_38b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "27" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 5.08 0) + (length 2.54) + (name "IOT_41a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "28" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 2.54 0) + (length 2.54) + (name "IOT_42b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "31" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 0 0) + (length 2.54) + (name "IOT_43a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "32" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 27.94 270) + (length 2.54) + (name "VCCIO_0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "33" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -2.54 0) + (length 2.54) + (name "IOT_44b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "34" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -7.62 0) + (length 2.54) + (name "IOT_46b_G0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "35" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -10.16 0) + (length 2.54) + (name "IOT_48b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "36" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -5.08 0) + (length 2.54) + (name "IOT_45a_G1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "37" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -15.24 0) + (length 2.54) + (name "IOT_50b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "38" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin open_collector line + (at -10.16 -20.32 0) + (length 2.54) + (name "RGB0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "39" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin open_collector line + (at -10.16 -22.86 0) + (length 2.54) + (name "RGB1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "40" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin open_collector line + (at -10.16 -25.4 0) + (length 2.54) + (name "RGB2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "41" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -17.78 0) + (length 2.54) + (name "IOT_51a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "42" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -12.7 0) + (length 2.54) + (name "IOT_49a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "43" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "ICE40UP5K-SG48ITR_2_1" + (rectangle + (start -10.16 25.4) + (end 10.16 -25.4) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin bidirectional line + (at -12.7 5.08 0) + (length 2.54) + (name "IOB_18a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 2.54 0) + (length 2.54) + (name "IOB_20a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 0 0) + (length 2.54) + (name "IOB_22a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -5.08 0) + (length 2.54) + (name "IOB_24a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -15.24 0) + (length 2.54) + (name "IOB_32a_SPI_SO" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -20.32 0) + (length 2.54) + (name "IOB_34a_SPI_SCK" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -22.86 0) + (length 2.54) + (name "IOB_35b_SPI_SS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -17.78 0) + (length 2.54) + (name "IOB_33b_SPI_SI" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -12.7 0) + (length 2.54) + (name "IOB_31b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -10.16 0) + (length 2.54) + (name "IOB_29b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -7.62 0) + (length 2.54) + (name "IOB_25b_G3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 -2.54 0) + (length 2.54) + (name "IOB_23b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "21" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 27.94 270) + (length 2.54) + (name "SPI_VCCIO1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "22" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 10.16 0) + (length 2.54) + (name "IOB_13b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin open_collector line + (at 12.7 17.78 180) + (length 2.54) + (name "CDONE" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 17.78 0) + (length 2.54) + (name "~{CRESET}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -12.7 7.62 0) + (length 2.54) + (name "IOB_16a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "ICE40UP5K-SG48ITR_3_1" + (rectangle + (start -7.62 15.24) + (end 7.62 -15.24) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin power_in line + (at 0 17.78 270) + (length 2.54) + (name "VCCIO_2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -7.62 0) + (length 2.54) + (name "IOB_6a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -12.7 0) + (length 2.54) + (name "IOB_9b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -10.16 0) + (length 2.54) + (name "IOB_8a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 0 0) + (length 2.54) + (name "IOB_3b_G6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "44" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -5.08 0) + (length 2.54) + (name "IOB_5b" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "45" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 5.08 0) + (length 2.54) + (name "IOB_0a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "46" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 2.54 0) + (length 2.54) + (name "IOB_2a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "47" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -2.54 0) + (length 2.54) + (name "IOB_4a" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "48" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "ICE40UP5K-SG48ITR_4_1" + (rectangle + (start -5.08 7.62) + (end 5.08 -7.62) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin power_in line + (at -2.54 10.16 270) + (length 2.54) + (name "VPP_2V5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "24" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 2.54 10.16 270) + (length 2.54) + (name "VCCPLL" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "29" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 10.16 270) + (length 2.54) hide + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "30" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -10.16 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "49" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 10.16 270) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Memory_Flash:AT25SF081-SSHD-X" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -6.35 11.43 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "AT25SF081-SSHD-X" + (at 11.43 11.43 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" + (at 0 -15.24 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.adestotech.com/wp-content/uploads/DS-AT25SF081_045.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "8-Mbit, 2.5V Minimum SPI Serial Flash Memory with Dual-I/O and Quad-I/O Support, SOIC-8" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "SPI DSPI QSPI 8Mbit 2.5V" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "SOIC?8*3.9x4.9mm*P1.27mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "AT25SF081-SSHD-X_0_1" + (rectangle + (start -7.62 10.16) + (end 7.62 -10.16) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "AT25SF081-SSHD-X_1_1" + (pin input line + (at -10.16 7.62 0) + (length 2.54) + (name "~{CS}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 0 0) + (length 2.54) + (name "SO/IO1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -2.54 0) + (length 2.54) + (name "~{WP}/IO2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -12.7 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 2.54 0) + (length 2.54) + (name "SI/IO0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 5.08 0) + (length 2.54) + (name "SCK" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -5.08 0) + (length 2.54) + (name "~{HOLD}/IO3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 12.7 270) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + ) + (symbol + (lib_id "FPGA_Lattice:ICE40UP5K-SG48ITR") + (at 113.03 135.89 0) + (unit 3) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6b22e46d-a371-4980-acbe-44b5b3480483") + (property "Reference" "U1" + (at 121.92 134.6199 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "ICE40UP5K-SG48ITR" + (at 121.92 137.1599 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" + (at 113.03 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.latticesemi.com/Products/FPGAandCPLD/iCE40Ultra" + (at 102.87 110.49 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "iCE40 UltraPlus FPGA, 5280 LUTs, 1.2V, 48-pin QFN" + (at 113.03 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "30" + (uuid "b346b28b-bb9d-4d53-ab83-9675f6cef3dc") + ) + (pin "5" + (uuid "74c564c3-3aae-4dfd-9488-5b2f66a5c7d4") + ) + (pin "29" + (uuid "3e878f41-7e87-4365-a6c9-baf85bf8638b") + ) + (pin "49" + (uuid "0a49622d-dd2b-48e7-8ed6-effe51606822") + ) + (pin "24" + (uuid "bef7a363-6246-42a6-b883-1aad3834529f") + ) + (pin "7" + (uuid "f8d29acd-be8e-48d7-a34c-3cfa7d8a9388") + ) + (pin "47" + (uuid "37111af6-4e14-4922-bf5a-a36bcdc30879") + ) + (pin "23" + (uuid "ff273b98-5ed4-42df-9852-b08c13f674d9") + ) + (pin "12" + (uuid "4580d763-ff53-44a5-abd2-5838fb3c1884") + ) + (pin "8" + (uuid "be331f5c-7128-494a-8fe6-009e3a505310") + ) + (pin "13" + (uuid "5443cc48-6fef-4c4e-940c-cf5f672fe483") + ) + (pin "16" + (uuid "b4255e7f-cfbc-49b1-8f79-a556e6ba564a") + ) + (pin "15" + (uuid "cb33552b-d7c2-46a2-a579-1ffa926b9139") + ) + (pin "46" + (uuid "c24ca549-dc51-4fd7-8e37-de6bb76da7d1") + ) + (pin "44" + (uuid "c79ed19e-dbca-41a4-93a3-e17bf54340b8") + ) + (pin "22" + (uuid "a3697001-b477-42d9-97bc-f8b43a48ecbb") + ) + (pin "31" + (uuid "542a11c0-e9b8-4dbc-b23d-a63b52ca1962") + ) + (pin "40" + (uuid "20772937-7d2e-455c-aa1d-19255cd7dd21") + ) + (pin "26" + (uuid "0feff625-6edf-4d42-a945-0704b2deb28f") + ) + (pin "9" + (uuid "d47047f4-4521-46ac-8e4c-c293c81441d3") + ) + (pin "34" + (uuid "767b4676-cf40-4d9b-ab65-66b3d03f9e9c") + ) + (pin "25" + (uuid "e69f7420-b5e5-4869-9b1f-b905cb78b1d7") + ) + (pin "3" + (uuid "37522307-8b3f-435a-b0c4-cfaf2f1af66e") + ) + (pin "39" + (uuid "ff2b47e4-a6c9-4410-8c22-e2b616ed9dd1") + ) + (pin "37" + (uuid "310f9381-91e4-47c8-93b2-59505b14b1ba") + ) + (pin "19" + (uuid "6ce6a504-cd61-488f-a5a8-a3a6f80ae75a") + ) + (pin "11" + (uuid "c11c99c3-7ecd-403c-b03d-e54e3e41e481") + ) + (pin "35" + (uuid "4db387da-704a-4099-9dcb-6188cf45b23b") + ) + (pin "36" + (uuid "68de0683-be0f-427c-b13c-0811397b8fd3") + ) + (pin "43" + (uuid "dc673c79-f485-4ad6-9d76-2228bb47b970") + ) + (pin "18" + (uuid "856ace89-dcf1-4974-abe3-15c6adbd4ee1") + ) + (pin "27" + (uuid "f2136b2d-1175-4bc0-ba81-c3483829a83e") + ) + (pin "45" + (uuid "fccc303c-0d0f-4e9a-b674-382d4618d365") + ) + (pin "4" + (uuid "d4a18807-ee52-4d9f-ac9f-7eb8b950951d") + ) + (pin "6" + (uuid "ab24b7c0-c6e1-46c8-a127-b1eb494f0837") + ) + (pin "41" + (uuid "2c56c059-3fa4-4599-bd78-a780c70b63dc") + ) + (pin "42" + (uuid "39ed07a4-faef-4d9b-9cf2-0a48af65dc8b") + ) + (pin "33" + (uuid "404adb93-70d7-42da-b926-d9b98dd07f37") + ) + (pin "38" + (uuid "1de29fd0-03c4-4126-a1a0-6bb3c00ae093") + ) + (pin "1" + (uuid "121f4736-e871-4d91-8a50-f60afa2a75ea") + ) + (pin "20" + (uuid "a40b0e13-7860-46db-aaa6-3c43980e903f") + ) + (pin "17" + (uuid "316fc7ae-5e8a-484a-95a1-4dc9d06b3684") + ) + (pin "21" + (uuid "d8d89713-4f5d-4c3a-b58f-f5996f67989a") + ) + (pin "48" + (uuid "1931c735-5ec8-49d2-a37c-a0f839906e3f") + ) + (pin "10" + (uuid "a5445bb8-fe99-4b1b-a1b4-3c4eef63c0b6") + ) + (pin "2" + (uuid "c6821614-c687-4841-8d4e-c137f5d98b0d") + ) + (pin "28" + (uuid "d320042b-a41d-4a63-8da2-094227e842a6") + ) + (pin "14" + (uuid "fb838d02-3717-4599-a8bc-bb5708db2281") + ) + (pin "32" + (uuid "2a485fc1-699c-42c9-bc35-ed62f4427ca9") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "U1") + (unit 3) + ) + ) + ) + ) + (symbol + (lib_id "Memory_Flash:AT25SF081-SSHD-X") + (at 129.54 74.93 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6d27b1ba-cd7b-4077-8655-003d4e55a135") + (property "Reference" "U7" + (at 138.43 73.6599 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "AP6404L-3SQR-SN" + (at 138.43 76.1999 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" + (at 129.54 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.adestotech.com/wp-content/uploads/DS-AT25SF081_045.pdf" + (at 129.54 74.93 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "8-Mbit, 2.5V Minimum SPI Serial Flash Memory with Dual-I/O and Quad-I/O Support, SOIC-8" + (at 129.54 74.93 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "3" + (uuid "02d70e60-204e-4acb-b6cc-d1d758eef28f") + ) + (pin "1" + (uuid "8c634486-ec4b-4585-81d1-9e910dce2d32") + ) + (pin "8" + (uuid "54ff3587-5468-4ab3-8f44-1cabc0f354d5") + ) + (pin "4" + (uuid "b6644173-e025-4a0d-970e-f2ad22167414") + ) + (pin "6" + (uuid "c2d9a7da-4aa4-4c6a-a3e1-630fc609a4c4") + ) + (pin "5" + (uuid "715c3fd4-e1ff-44d0-8bb2-8b530c36c077") + ) + (pin "7" + (uuid "f9593ef0-afb0-4275-a207-6578f17f42be") + ) + (pin "2" + (uuid "a837dd53-1c4a-439e-9020-b17772163cb5") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "U7") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "FPGA_Lattice:ICE40UP5K-SG48ITR") + (at 66.04 133.35 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "8488306a-773a-48c8-99fa-d3bf77a03742") + (property "Reference" "U1" + (at 74.93 133.3499 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "ICE40UP5K-SG48ITR" + (at 74.93 135.8899 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" + (at 66.04 167.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.latticesemi.com/Products/FPGAandCPLD/iCE40Ultra" + (at 55.88 107.95 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "iCE40 UltraPlus FPGA, 5280 LUTs, 1.2V, 48-pin QFN" + (at 66.04 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "30" + (uuid "b346b28b-bb9d-4d53-ab83-9675f6cef3dc") + ) + (pin "5" + (uuid "74c564c3-3aae-4dfd-9488-5b2f66a5c7d4") + ) + (pin "29" + (uuid "3e878f41-7e87-4365-a6c9-baf85bf8638b") + ) + (pin "49" + (uuid "0a49622d-dd2b-48e7-8ed6-effe51606822") + ) + (pin "24" + (uuid "bef7a363-6246-42a6-b883-1aad3834529f") + ) + (pin "7" + (uuid "f8d29acd-be8e-48d7-a34c-3cfa7d8a9388") + ) + (pin "47" + (uuid "37111af6-4e14-4922-bf5a-a36bcdc30879") + ) + (pin "23" + (uuid "ff273b98-5ed4-42df-9852-b08c13f674d9") + ) + (pin "12" + (uuid "4580d763-ff53-44a5-abd2-5838fb3c1884") + ) + (pin "8" + (uuid "be331f5c-7128-494a-8fe6-009e3a505310") + ) + (pin "13" + (uuid "5443cc48-6fef-4c4e-940c-cf5f672fe483") + ) + (pin "16" + (uuid "b4255e7f-cfbc-49b1-8f79-a556e6ba564a") + ) + (pin "15" + (uuid "cb33552b-d7c2-46a2-a579-1ffa926b9139") + ) + (pin "46" + (uuid "c24ca549-dc51-4fd7-8e37-de6bb76da7d1") + ) + (pin "44" + (uuid "c79ed19e-dbca-41a4-93a3-e17bf54340b8") + ) + (pin "22" + (uuid "a3697001-b477-42d9-97bc-f8b43a48ecbb") + ) + (pin "31" + (uuid "542a11c0-e9b8-4dbc-b23d-a63b52ca1962") + ) + (pin "40" + (uuid "20772937-7d2e-455c-aa1d-19255cd7dd21") + ) + (pin "26" + (uuid "0feff625-6edf-4d42-a945-0704b2deb28f") + ) + (pin "9" + (uuid "d47047f4-4521-46ac-8e4c-c293c81441d3") + ) + (pin "34" + (uuid "767b4676-cf40-4d9b-ab65-66b3d03f9e9c") + ) + (pin "25" + (uuid "e69f7420-b5e5-4869-9b1f-b905cb78b1d7") + ) + (pin "3" + (uuid "37522307-8b3f-435a-b0c4-cfaf2f1af66e") + ) + (pin "39" + (uuid "ff2b47e4-a6c9-4410-8c22-e2b616ed9dd1") + ) + (pin "37" + (uuid "310f9381-91e4-47c8-93b2-59505b14b1ba") + ) + (pin "19" + (uuid "6ce6a504-cd61-488f-a5a8-a3a6f80ae75a") + ) + (pin "11" + (uuid "c11c99c3-7ecd-403c-b03d-e54e3e41e481") + ) + (pin "35" + (uuid "4db387da-704a-4099-9dcb-6188cf45b23b") + ) + (pin "36" + (uuid "68de0683-be0f-427c-b13c-0811397b8fd3") + ) + (pin "43" + (uuid "dc673c79-f485-4ad6-9d76-2228bb47b970") + ) + (pin "18" + (uuid "856ace89-dcf1-4974-abe3-15c6adbd4ee1") + ) + (pin "27" + (uuid "f2136b2d-1175-4bc0-ba81-c3483829a83e") + ) + (pin "45" + (uuid "fccc303c-0d0f-4e9a-b674-382d4618d365") + ) + (pin "4" + (uuid "d4a18807-ee52-4d9f-ac9f-7eb8b950951d") + ) + (pin "6" + (uuid "ab24b7c0-c6e1-46c8-a127-b1eb494f0837") + ) + (pin "41" + (uuid "2c56c059-3fa4-4599-bd78-a780c70b63dc") + ) + (pin "42" + (uuid "39ed07a4-faef-4d9b-9cf2-0a48af65dc8b") + ) + (pin "33" + (uuid "404adb93-70d7-42da-b926-d9b98dd07f37") + ) + (pin "38" + (uuid "1de29fd0-03c4-4126-a1a0-6bb3c00ae093") + ) + (pin "1" + (uuid "121f4736-e871-4d91-8a50-f60afa2a75ea") + ) + (pin "20" + (uuid "a40b0e13-7860-46db-aaa6-3c43980e903f") + ) + (pin "17" + (uuid "316fc7ae-5e8a-484a-95a1-4dc9d06b3684") + ) + (pin "21" + (uuid "d8d89713-4f5d-4c3a-b58f-f5996f67989a") + ) + (pin "48" + (uuid "1931c735-5ec8-49d2-a37c-a0f839906e3f") + ) + (pin "10" + (uuid "a5445bb8-fe99-4b1b-a1b4-3c4eef63c0b6") + ) + (pin "2" + (uuid "c6821614-c687-4841-8d4e-c137f5d98b0d") + ) + (pin "28" + (uuid "d320042b-a41d-4a63-8da2-094227e842a6") + ) + (pin "14" + (uuid "fb838d02-3717-4599-a8bc-bb5708db2281") + ) + (pin "32" + (uuid "2a485fc1-699c-42c9-bc35-ed62f4427ca9") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "U1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "FPGA_Lattice:ICE40UP5K-SG48ITR") + (at 187.96 77.47 0) + (unit 2) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "dc6cfbac-6e82-428a-b037-174d0ec06712") + (property "Reference" "U1" + (at 187.96 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "ICE40UP5K-SG48ITR" + (at 187.96 107.95 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" + (at 187.96 111.76 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.latticesemi.com/Products/FPGAandCPLD/iCE40Ultra" + (at 177.8 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "iCE40 UltraPlus FPGA, 5280 LUTs, 1.2V, 48-pin QFN" + (at 187.96 77.47 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "30" + (uuid "b346b28b-bb9d-4d53-ab83-9675f6cef3dc") + ) + (pin "5" + (uuid "74c564c3-3aae-4dfd-9488-5b2f66a5c7d4") + ) + (pin "29" + (uuid "3e878f41-7e87-4365-a6c9-baf85bf8638b") + ) + (pin "49" + (uuid "0a49622d-dd2b-48e7-8ed6-effe51606822") + ) + (pin "24" + (uuid "bef7a363-6246-42a6-b883-1aad3834529f") + ) + (pin "7" + (uuid "f8d29acd-be8e-48d7-a34c-3cfa7d8a9388") + ) + (pin "47" + (uuid "37111af6-4e14-4922-bf5a-a36bcdc30879") + ) + (pin "23" + (uuid "ff273b98-5ed4-42df-9852-b08c13f674d9") + ) + (pin "12" + (uuid "4580d763-ff53-44a5-abd2-5838fb3c1884") + ) + (pin "8" + (uuid "be331f5c-7128-494a-8fe6-009e3a505310") + ) + (pin "13" + (uuid "5443cc48-6fef-4c4e-940c-cf5f672fe483") + ) + (pin "16" + (uuid "b4255e7f-cfbc-49b1-8f79-a556e6ba564a") + ) + (pin "15" + (uuid "cb33552b-d7c2-46a2-a579-1ffa926b9139") + ) + (pin "46" + (uuid "c24ca549-dc51-4fd7-8e37-de6bb76da7d1") + ) + (pin "44" + (uuid "c79ed19e-dbca-41a4-93a3-e17bf54340b8") + ) + (pin "22" + (uuid "a3697001-b477-42d9-97bc-f8b43a48ecbb") + ) + (pin "31" + (uuid "542a11c0-e9b8-4dbc-b23d-a63b52ca1962") + ) + (pin "40" + (uuid "20772937-7d2e-455c-aa1d-19255cd7dd21") + ) + (pin "26" + (uuid "0feff625-6edf-4d42-a945-0704b2deb28f") + ) + (pin "9" + (uuid "d47047f4-4521-46ac-8e4c-c293c81441d3") + ) + (pin "34" + (uuid "767b4676-cf40-4d9b-ab65-66b3d03f9e9c") + ) + (pin "25" + (uuid "e69f7420-b5e5-4869-9b1f-b905cb78b1d7") + ) + (pin "3" + (uuid "37522307-8b3f-435a-b0c4-cfaf2f1af66e") + ) + (pin "39" + (uuid "ff2b47e4-a6c9-4410-8c22-e2b616ed9dd1") + ) + (pin "37" + (uuid "310f9381-91e4-47c8-93b2-59505b14b1ba") + ) + (pin "19" + (uuid "6ce6a504-cd61-488f-a5a8-a3a6f80ae75a") + ) + (pin "11" + (uuid "c11c99c3-7ecd-403c-b03d-e54e3e41e481") + ) + (pin "35" + (uuid "4db387da-704a-4099-9dcb-6188cf45b23b") + ) + (pin "36" + (uuid "68de0683-be0f-427c-b13c-0811397b8fd3") + ) + (pin "43" + (uuid "dc673c79-f485-4ad6-9d76-2228bb47b970") + ) + (pin "18" + (uuid "856ace89-dcf1-4974-abe3-15c6adbd4ee1") + ) + (pin "27" + (uuid "f2136b2d-1175-4bc0-ba81-c3483829a83e") + ) + (pin "45" + (uuid "fccc303c-0d0f-4e9a-b674-382d4618d365") + ) + (pin "4" + (uuid "d4a18807-ee52-4d9f-ac9f-7eb8b950951d") + ) + (pin "6" + (uuid "ab24b7c0-c6e1-46c8-a127-b1eb494f0837") + ) + (pin "41" + (uuid "2c56c059-3fa4-4599-bd78-a780c70b63dc") + ) + (pin "42" + (uuid "39ed07a4-faef-4d9b-9cf2-0a48af65dc8b") + ) + (pin "33" + (uuid "404adb93-70d7-42da-b926-d9b98dd07f37") + ) + (pin "38" + (uuid "1de29fd0-03c4-4126-a1a0-6bb3c00ae093") + ) + (pin "1" + (uuid "121f4736-e871-4d91-8a50-f60afa2a75ea") + ) + (pin "20" + (uuid "a40b0e13-7860-46db-aaa6-3c43980e903f") + ) + (pin "17" + (uuid "316fc7ae-5e8a-484a-95a1-4dc9d06b3684") + ) + (pin "21" + (uuid "d8d89713-4f5d-4c3a-b58f-f5996f67989a") + ) + (pin "48" + (uuid "1931c735-5ec8-49d2-a37c-a0f839906e3f") + ) + (pin "10" + (uuid "a5445bb8-fe99-4b1b-a1b4-3c4eef63c0b6") + ) + (pin "2" + (uuid "c6821614-c687-4841-8d4e-c137f5d98b0d") + ) + (pin "28" + (uuid "d320042b-a41d-4a63-8da2-094227e842a6") + ) + (pin "14" + (uuid "fb838d02-3717-4599-a8bc-bb5708db2281") + ) + (pin "32" + (uuid "2a485fc1-699c-42c9-bc35-ed62f4427ca9") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "U1") + (unit 2) + ) + ) + ) + ) + (symbol + (lib_id "Memory_Flash:AT25SF081-SSHD-X") + (at 85.09 74.93 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "e70ce37b-d662-4d04-aaab-4ed028b0823c") + (property "Reference" "U6" + (at 93.98 73.6599 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "AP6404L-3SQR-SN" + (at 93.98 76.1999 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" + (at 85.09 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.adestotech.com/wp-content/uploads/DS-AT25SF081_045.pdf" + (at 85.09 74.93 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "8-Mbit, 2.5V Minimum SPI Serial Flash Memory with Dual-I/O and Quad-I/O Support, SOIC-8" + (at 85.09 74.93 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "3" + (uuid "776bca0d-43dc-4fd8-aff7-22d02221aa8a") + ) + (pin "1" + (uuid "7540642d-d144-40f3-aa52-3e1b5643e799") + ) + (pin "8" + (uuid "bd1de0e3-d0b4-45cb-9037-90fc9d75ff06") + ) + (pin "4" + (uuid "80edd60e-2dba-47d3-ba07-c4b7ddd2f5b2") + ) + (pin "6" + (uuid "c7a64630-0ffa-4493-85ab-08a424f6c0d3") + ) + (pin "5" + (uuid "3b9117b4-be86-4ffd-8d37-d98cf00d9c58") + ) + (pin "7" + (uuid "e7aa1908-6599-4f5f-9e78-37969bbd37e2") + ) + (pin "2" + (uuid "637f1d6e-d2b7-4e1f-88b6-4632fec0c32d") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (reference "U6") + (unit 1) + ) + ) + ) + ) + (sheet + (at 15.24 15.24) + (size 40.64 29.21) + (fields_autoplaced yes) + (stroke + (width 0.1524) + (type solid) + ) + (fill + (color 0 0 0 0.0000) + ) + (uuid "ac2900c4-bddc-485c-b46e-ddd13f5b0b97") + (property "Sheetname" "power" + (at 15.24 14.5284 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + ) + (property "Sheetfile" "power.kicad_sch" + (at 15.24 45.0346 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left top) + ) + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850" + (page "2") + ) + ) + ) + ) + (sheet_instances + (path "/" + (page "1") + ) + ) +) diff --git a/kicad/usb.kicad_sch b/kicad/usb.kicad_sch new file mode 100644 index 0000000..d657201 --- /dev/null +++ b/kicad/usb.kicad_sch @@ -0,0 +1,2608 @@ +(kicad_sch + (version 20231120) + (generator "eeschema") + (generator_version "8.0") + (uuid "d7368bdd-94df-4bcb-8823-60f77936df1c") + (paper "A4") + (lib_symbols + (symbol "Connector:USB_B_Micro" + (pin_names + (offset 1.016) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at -5.08 11.43 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "USB_B_Micro" + (at -5.08 8.89 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 3.81 -1.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 3.81 -1.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "USB Micro Type B connector" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "connector USB micro" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "USB*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "USB_B_Micro_0_1" + (rectangle + (start -5.08 -7.62) + (end 5.08 7.62) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (circle + (center -3.81 2.159) + (radius 0.635) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + (circle + (center -0.635 3.429) + (radius 0.381) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start -0.127 -7.62) + (end 0.127 -6.858) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.905 2.159) (xy 0.635 2.159) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -3.175 2.159) (xy -2.54 2.159) (xy -1.27 3.429) (xy -0.635 3.429) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 2.159) (xy -1.905 2.159) (xy -1.27 0.889) (xy 0 0.889) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0.635 2.794) (xy 0.635 1.524) (xy 1.905 2.159) (xy 0.635 2.794) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + (polyline + (pts + (xy -4.318 5.588) (xy -1.778 5.588) (xy -2.032 4.826) (xy -4.064 4.826) (xy -4.318 5.588) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type outline) + ) + ) + (polyline + (pts + (xy -4.699 5.842) (xy -4.699 5.588) (xy -4.445 4.826) (xy -4.445 4.572) (xy -1.651 4.572) (xy -1.651 4.826) + (xy -1.397 5.588) (xy -1.397 5.842) (xy -4.699 5.842) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 0.254 1.27) + (end -0.508 0.508) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 5.08 -5.207) + (end 4.318 -4.953) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 5.08 -2.667) + (end 4.318 -2.413) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 5.08 -0.127) + (end 4.318 0.127) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 5.08 4.953) + (end 4.318 5.207) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "USB_B_Micro_1_1" + (pin power_out line + (at 7.62 5.08 180) + (length 2.54) + (name "VBUS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 7.62 -2.54 180) + (length 2.54) + (name "D-" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 7.62 0 180) + (length 2.54) + (name "D+" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 -5.08 180) + (length 2.54) + (name "ID" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 0 -10.16 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -2.54 -10.16 90) + (length 2.54) + (name "Shield" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:C" + (pin_numbers hide) + (pin_names + (offset 0.254) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "C" + (at 0.635 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "C" + (at 0.635 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 0.9652 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "cap capacitor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "C_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "C_0_1" + (polyline + (pts + (xy -2.032 -0.762) (xy 2.032 -0.762) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.032 0.762) (xy 2.032 0.762) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "C_1_1" + (pin passive line + (at 0 3.81 270) + (length 2.794) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 2.794) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:D_Schottky" + (pin_numbers hide) + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "D" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "D_Schottky" + (at 0 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Schottky diode" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "diode Schottky" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "TO-???* *_Diode_* *SingleDiode* D_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "D_Schottky_0_1" + (polyline + (pts + (xy 1.27 0) (xy -1.27 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 1.27) (xy 1.27 -1.27) (xy -1.27 0) (xy 1.27 1.27) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.905 0.635) (xy -1.905 1.27) (xy -1.27 1.27) (xy -1.27 -1.27) (xy -0.635 -1.27) (xy -0.635 -0.635) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "D_Schottky_1_1" + (pin passive line + (at -3.81 0 0) + (length 2.54) + (name "K" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 3.81 0 180) + (length 2.54) + (name "A" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Interface_USB:FT230XQ" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -13.97 15.24 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "FT230XQ" + (at 7.62 15.24 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DFN_QFN:QFN-16-1EP_4x4mm_P0.65mm_EP2.1x2.1mm" + (at 34.29 -15.24 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT230X.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Full Speed USB to Basic UART, QFN-16" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "FTDI USB UART interface converter" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "QFN*1EP*4x4mm*P0.65mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "FT230XQ_0_1" + (rectangle + (start -13.97 13.97) + (end 13.97 -13.97) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "FT230XQ_1_1" + (pin power_in line + (at 2.54 17.78 270) + (length 3.81) + (name "VCCIO" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at -2.54 17.78 270) + (length 3.81) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 17.78 -5.08 180) + (length 3.81) + (name "CBUS1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 17.78 -2.54 180) + (length 3.81) + (name "CBUS0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -17.78 90) + (length 3.81) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 17.78 -10.16 180) + (length 3.81) + (name "CBUS3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 17.78 10.16 180) + (length 3.81) + (name "TXD" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 17.78 5.08 180) + (length 3.81) + (name "~{RTS}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 2.54 -17.78 90) + (length 3.81) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 17.78 7.62 180) + (length 3.81) + (name "RXD" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at -2.54 -17.78 90) + (length 3.81) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 17.78 2.54 180) + (length 3.81) + (name "~{CTS}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 17.78 -7.62 180) + (length 3.81) + (name "CBUS2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -17.78 0 0) + (length 3.81) + (name "USBDP" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -17.78 2.54 0) + (length 3.81) + (name "USBDM" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at -17.78 10.16 0) + (length 3.81) + (name "3V3OUT" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -17.78 -5.08 0) + (length 3.81) + (name "~{RESET}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:+3V3" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:+5V" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "+5V_1_1" + (pin power_in line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:GND" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "GND_1_1" + (pin power_in line + (at 0 0 270) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:VBUS" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VBUS" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VBUS\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "VBUS_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "VBUS_1_1" + (pin power_in line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + ) + (junction + (at 166.37 38.1) + (diameter 0) + (color 0 0 0 0) + (uuid "1c5ee3bb-6b60-4592-845c-704f48d5fb56") + ) + (junction + (at 163.83 78.74) + (diameter 0) + (color 0 0 0 0) + (uuid "2036b641-453f-407e-b397-b249d4f3933a") + ) + (junction + (at 161.29 38.1) + (diameter 0) + (color 0 0 0 0) + (uuid "4c2ba1b1-4b7b-45dc-b2ee-8cdd3a0f968a") + ) + (junction + (at 119.38 50.8) + (diameter 0) + (color 0 0 0 0) + (uuid "9eddc42c-564c-49e3-9bb4-5648e838ae78") + ) + (no_connect + (at 181.61 53.34) + (uuid "03ab8fb3-aea2-4dc7-9ac7-ac7069815e71") + ) + (no_connect + (at 118.11 60.96) + (uuid "142af2e5-bdaa-45be-8f9b-9efa63e6f7c4") + ) + (no_connect + (at 181.61 55.88) + (uuid "63c62553-553f-4e03-9175-d4a570a4f5c1") + ) + (no_connect + (at 181.61 63.5) + (uuid "6f618eff-1bb6-4848-af34-b4af0845a5c8") + ) + (no_connect + (at 181.61 60.96) + (uuid "a2367d30-f4f9-4262-a1b8-ade483b8e10f") + ) + (no_connect + (at 181.61 68.58) + (uuid "c46ba138-0018-478b-a702-5cea35fcae00") + ) + (no_connect + (at 181.61 66.04) + (uuid "eaf4434e-4268-40d3-a261-f7adc8224d4a") + ) + (no_connect + (at 107.95 66.04) + (uuid "faaebed1-de79-41df-9099-d8e973c1e5df") + ) + (wire + (pts + (xy 132.08 55.88) (xy 146.05 55.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0629c97a-2fbf-4d1e-964d-c6f4d5974ee8") + ) + (wire + (pts + (xy 129.54 50.8) (xy 132.08 50.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1e2f30e8-6789-4911-b97b-f8619db5451e") + ) + (wire + (pts + (xy 143.51 38.1) (xy 161.29 38.1) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2b9a53a2-d1eb-4d51-a83b-5b47cb6fd951") + ) + (wire + (pts + (xy 132.08 58.42) (xy 146.05 58.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2eb5b0a9-aa56-45dd-b205-585b2eaa9c7c") + ) + (wire + (pts + (xy 118.11 55.88) (xy 129.54 55.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2f3982c3-b615-49d7-a97c-d4a5a04c1827") + ) + (wire + (pts + (xy 119.38 50.8) (xy 121.92 50.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "384b6aa1-f496-4697-8774-87eb42df879b") + ) + (wire + (pts + (xy 161.29 35.56) (xy 161.29 38.1) + ) + (stroke + (width 0) + (type default) + ) + (uuid "39984b41-2224-484f-a1e3-86e337dfc42b") + ) + (wire + (pts + (xy 161.29 38.1) (xy 166.37 38.1) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3e4f984c-0837-458d-964c-ddcb6073bdd2") + ) + (wire + (pts + (xy 143.51 63.5) (xy 143.51 38.1) + ) + (stroke + (width 0) + (type default) + ) + (uuid "401f1b82-a067-4c27-ad69-4b5bec52605c") + ) + (wire + (pts + (xy 110.49 66.04) (xy 110.49 68.58) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4034b58a-f82d-49bd-a968-b89700863853") + ) + (wire + (pts + (xy 166.37 38.1) (xy 166.37 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "42a119a2-8c5d-4f03-9ab8-c04d5f5b608a") + ) + (wire + (pts + (xy 132.08 48.26) (xy 132.08 50.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "486d3c56-be9d-4b80-9970-3b8ab66029a3") + ) + (wire + (pts + (xy 166.37 78.74) (xy 166.37 76.2) + ) + (stroke + (width 0) + (type default) + ) + (uuid "53eba157-9d21-481a-b2d2-50344280959c") + ) + (wire + (pts + (xy 161.29 38.1) (xy 161.29 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "657ad7bc-154f-429e-90a9-8cb838d73fd4") + ) + (wire + (pts + (xy 166.37 38.1) (xy 168.91 38.1) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7dedd783-e29e-48b5-8ea8-6f6867364540") + ) + (wire + (pts + (xy 118.11 50.8) (xy 119.38 50.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "806d049c-d288-4c12-87a6-8484367e67e6") + ) + (wire + (pts + (xy 129.54 58.42) (xy 132.08 55.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "82996e0a-1540-4005-93a7-fa1d46cf839a") + ) + (wire + (pts + (xy 181.61 50.8) (xy 187.96 50.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8600febf-5615-46ee-84d1-dec72d904c4d") + ) + (wire + (pts + (xy 146.05 63.5) (xy 143.51 63.5) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8ce1793f-d010-4dff-b12e-b1896d864ca6") + ) + (wire + (pts + (xy 163.83 76.2) (xy 163.83 78.74) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9e55e182-4728-421a-81e5-8b0916ddfe14") + ) + (wire + (pts + (xy 163.83 78.74) (xy 163.83 81.28) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a58701a3-6abb-4c17-a953-e1203c7cd00e") + ) + (wire + (pts + (xy 161.29 76.2) (xy 161.29 78.74) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a769af4b-dcd0-4525-add5-72060caebaa5") + ) + (wire + (pts + (xy 161.29 78.74) (xy 163.83 78.74) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a8899a91-340c-4179-9246-9a85fe26ee93") + ) + (wire + (pts + (xy 181.61 48.26) (xy 187.96 48.26) + ) + (stroke + (width 0) + (type default) + ) + (uuid "cb3d2edd-c15c-40cd-b15e-0195d084c251") + ) + (wire + (pts + (xy 163.83 78.74) (xy 166.37 78.74) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d087fe6a-50aa-497d-908c-c7906f24af66") + ) + (wire + (pts + (xy 119.38 48.26) (xy 119.38 50.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d6e3bcb8-05d7-4eea-80ae-c7735bb42d2c") + ) + (wire + (pts + (xy 118.11 58.42) (xy 129.54 58.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "efee24a7-1fe5-42a7-8961-20ec839fd82d") + ) + (wire + (pts + (xy 176.53 38.1) (xy 179.07 38.1) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f694788c-7cc2-4c05-8940-70062f63c3c1") + ) + (wire + (pts + (xy 129.54 55.88) (xy 132.08 58.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fce99af7-57d4-4af7-a6ee-d591b62f7ac6") + ) + (label "USB_D+" + (at 119.38 55.88 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "2dfd324c-38a0-42c1-9e22-c91885bc278e") + ) + (label "USB_D-" + (at 119.38 58.42 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "3a7effce-3f68-4357-ac35-548ac19a93ca") + ) + (hierarchical_label "SERIAL_PC_OUT" + (shape output) + (at 187.96 48.26 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "0f446723-376f-49ac-8219-db6e00be0362") + ) + (hierarchical_label "SERIAL_PC_IN" + (shape input) + (at 187.96 50.8 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "34e8c61b-451d-4cfd-b1e7-6228eae22adc") + ) + (symbol + (lib_id "power:GND") + (at 110.49 68.58 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0df2270c-f161-42d8-9ff7-db5eb3688b1a") + (property "Reference" "#PWR043" + (at 110.49 74.93 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 110.49 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 110.49 68.58 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 110.49 68.58 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 110.49 68.58 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b2f2b806-348b-452c-b2e2-9cbbe74ba0ef") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/a567741c-bfe0-4737-b224-2172f1c1bd58" + (reference "#PWR043") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 172.72 38.1 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "1b864522-3f1b-4efe-b672-a053bdc067ca") + (property "Reference" "C6" + (at 172.72 30.48 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "100n" + (at 172.72 33.02 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 176.53 37.1348 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 172.72 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 172.72 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "1abf8ee9-b4fe-4d20-8d10-4f222e836c63") + ) + (pin "1" + (uuid "2073b61a-7646-4b7b-967f-15df57ce2660") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/a567741c-bfe0-4737-b224-2172f1c1bd58" + (reference "C6") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:D_Schottky") + (at 125.73 50.8 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "412a4883-3224-4f9e-8fdc-2f4bb3a7f095") + (property "Reference" "D2" + (at 126.0475 44.45 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "POL" + (at 126.0475 46.99 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_0805_2012Metric" + (at 125.73 50.8 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 125.73 50.8 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Schottky diode" + (at 125.73 50.8 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "27dd1e0f-54ef-4f54-bb88-3407936dd7bd") + ) + (pin "1" + (uuid "f3bf2910-fac6-4933-91dc-57e4faf3c4f9") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/a567741c-bfe0-4737-b224-2172f1c1bd58" + (reference "D2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 163.83 81.28 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "744065ed-d628-4ffd-9867-140f54cea67d") + (property "Reference" "#PWR040" + (at 163.83 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 163.83 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 163.83 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 163.83 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 163.83 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "5fe9dfb3-d873-4b21-a71d-5056efe8bad9") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/a567741c-bfe0-4737-b224-2172f1c1bd58" + (reference "#PWR040") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 132.08 48.26 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "75bc0f2e-2e15-44b6-b066-0fb411466e4f") + (property "Reference" "#PWR038" + (at 132.08 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 132.08 43.18 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 132.08 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 132.08 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 132.08 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b0aef521-708b-4a47-871f-b582b056fda2") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/a567741c-bfe0-4737-b224-2172f1c1bd58" + (reference "#PWR038") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 161.29 35.56 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "85c1fd9a-9338-4cdd-a861-0b7ccaadedf9") + (property "Reference" "#PWR039" + (at 161.29 39.37 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 161.29 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 161.29 35.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 161.29 35.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 161.29 35.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "94879f96-4e82-4d94-a8c1-254580b74798") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/a567741c-bfe0-4737-b224-2172f1c1bd58" + (reference "#PWR039") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:USB_B_Micro") + (at 110.49 55.88 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "884d5748-ee81-40e7-9ce5-c9240b9b5555") + (property "Reference" "J3" + (at 134.62 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "USB_B_Micro" + (at 110.49 45.72 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_USB:USB_Micro-B_Amphenol_10104110_Horizontal" + (at 114.3 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 114.3 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "USB Micro Type B connector" + (at 110.49 55.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "4" + (uuid "09dad4cf-e624-4ac6-a85c-3a9ec10ecc6f") + ) + (pin "5" + (uuid "40d5cbea-ceda-431f-8199-0db36e20c09c") + ) + (pin "3" + (uuid "1ea670f6-e676-4226-92fd-0350658843c9") + ) + (pin "1" + (uuid "55feddd6-3b10-43ff-b196-451e6b1e7b4c") + ) + (pin "6" + (uuid "f1fee699-9fd2-4541-9fe9-afd3bf16cddb") + ) + (pin "2" + (uuid "46be870f-d830-4575-a8c6-9cb08ae3a038") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/a567741c-bfe0-4737-b224-2172f1c1bd58" + (reference "J3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 179.07 38.1 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "9bdb7788-d7d0-4033-9aef-ae5ed9f14a9e") + (property "Reference" "#PWR046" + (at 185.42 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 182.88 38.0999 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 179.07 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 179.07 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 179.07 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "ffea40cf-3aad-4557-a384-bf77341d9045") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/a567741c-bfe0-4737-b224-2172f1c1bd58" + (reference "#PWR046") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VBUS") + (at 119.38 48.26 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "e9ad7ebc-c2cb-4435-9cf2-b70ae2246cc9") + (property "Reference" "#PWR048" + (at 119.38 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VBUS" + (at 119.38 43.18 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 119.38 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 119.38 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VBUS\"" + (at 119.38 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f3b9e5f1-e756-4858-afc0-77d01c7794da") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/a567741c-bfe0-4737-b224-2172f1c1bd58" + (reference "#PWR048") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Interface_USB:FT230XQ") + (at 163.83 58.42 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "ff31605c-60da-49d8-aefe-eb9be5fefd66") + (property "Reference" "U4" + (at 169.926 73.406 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "FT230XQ" + (at 169.418 75.184 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DFN_QFN:QFN-16-1EP_4x4mm_P0.65mm_EP2.1x2.1mm" + (at 198.12 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT230X.pdf" + (at 163.83 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Full Speed USB to Basic UART, QFN-16" + (at 163.83 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "17" + (uuid "f1944c93-b7c8-4429-8a45-dcd02b538539") + ) + (pin "2" + (uuid "f49f8b46-37fe-4fa4-8da3-fd15c7944a91") + ) + (pin "12" + (uuid "62e5c2bd-9900-4c6f-a2c7-e272ae496a96") + ) + (pin "8" + (uuid "e30fbcee-ce12-4691-948f-f98237995fdb") + ) + (pin "11" + (uuid "a5be3d09-a5cb-48b1-831b-738ee15202b2") + ) + (pin "13" + (uuid "417d9b94-c292-4429-b428-053ad5353151") + ) + (pin "3" + (uuid "18829dbc-e272-4760-9325-6392ca0b4090") + ) + (pin "5" + (uuid "9503a6c9-df33-4039-a9e2-0d7561384c8a") + ) + (pin "4" + (uuid "fa854bbf-38c7-4467-9414-e030056d0b92") + ) + (pin "9" + (uuid "17785c3e-7b76-41f6-aaac-4341ed5f181e") + ) + (pin "6" + (uuid "8c83ec3c-ff40-4d1b-98bc-949a643c5bb6") + ) + (pin "10" + (uuid "e72ceb6f-06e0-4bc2-805a-76c10cc088eb") + ) + (pin "1" + (uuid "5b7284d5-8f44-4d78-a123-3a4ac141881d") + ) + (pin "14" + (uuid "cdee7299-6a95-4900-bae0-960ae2e3b8f6") + ) + (pin "15" + (uuid "e58f9863-ca2b-43e0-8914-c983e7d32da6") + ) + (pin "7" + (uuid "fa5f533e-4c6b-4f68-9ba5-37764e484a4e") + ) + (pin "16" + (uuid "1071f128-1a2d-477e-aa69-5be1ec54d8bf") + ) + (instances + (project "trashernet_soc" + (path "/6f17954a-662c-4681-9d8a-117fc0975850/a567741c-bfe0-4737-b224-2172f1c1bd58" + (reference "U4") + (unit 1) + ) + ) + ) + ) +)