A simple CMS for nerds.

Updated 2021-11-02 21:24:12 +01:00

Small code snippets that might prove useful again in the future.

Updated 2020-06-15 19:58:07 +02:00

A simple template for an AVR project.

Updated 2020-07-11 12:42:41 +02:00

Source code templates

Updated 2019-05-25 22:16:12 +02:00

A tiny development board for the LCMXO2-1200 FPGA from Lattice.

Updated 2018-01-14 19:23:02 +01:00

Trashernet is a very trashy Ethernet stack for FPGAs written in VHDL aiming to cover all OSI layers, including physical!

Updated 2024-02-04 09:22:21 +01:00

Clone of http://www.pogo.org.uk/~mark/trx.git

Updated 2020-11-28 12:03:34 +01:00

Building an FPGA from 74-series logic ICs. For fun and education.

Updated 2020-05-11 11:40:40 +02:00

Firm- and software for a USB controllable VFD.

Updated 2018-03-24 18:05:02 +01:00

DIY web radio / audio streaming box

Updated 2020-10-30 12:54:15 +01:00

Schematics, PCB layout and CAD data for a simple WS2812B based light sign.

Updated 2018-12-20 12:10:22 +01:00

A controller for the WorldSemi WS2812B RGB LEDs written in plain VHDL.

Updated 2016-11-05 15:10:25 +01:00

Yet Another Check-Out System

Updated 2019-12-13 16:16:39 +01:00