From 1739d5b3ae1f3c8a83237366b3f339fe128b4fdc Mon Sep 17 00:00:00 2001 From: Markus Koch Date: Sat, 13 Jan 2018 09:59:09 +0100 Subject: [PATCH] Change reset pin for vfdboard HW --- hcs12ss59t.h | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/hcs12ss59t.h b/hcs12ss59t.h index 247e6c2..33a4fef 100644 --- a/hcs12ss59t.h +++ b/hcs12ss59t.h @@ -22,7 +22,7 @@ #define HCS12SS59T_SPI_MOSI PB3 #define HCS12SS59T_SPI_SCK PB5 #define HCS12SS59T_SPI_SS_N PB2 -#define HCS12SS59T_SPI_RESET_N PB0 +#define HCS12SS59T_SPI_RESET_N PB1 /* Display commands and information */ #define HCS12SS59T_DCRAM_WR 0x10 /* ccccaaaa dddddddd dddddddd .. */