From 0e809004267c85c52123b0640708d181313f3f4b Mon Sep 17 00:00:00 2001 From: Markus Koch Date: Fri, 13 May 2022 18:19:35 +0200 Subject: [PATCH] bench: hw_itl: Add comments --- cocotb/hw_itl.py | 11 +++++++++-- 1 file changed, 9 insertions(+), 2 deletions(-) diff --git a/cocotb/hw_itl.py b/cocotb/hw_itl.py index 54ef8cb..62a92eb 100644 --- a/cocotb/hw_itl.py +++ b/cocotb/hw_itl.py @@ -1,4 +1,4 @@ -#!/usr/bin/env python +#!/usr/bin/env python3 import cocotb from cocotb.triggers import * @@ -14,6 +14,13 @@ import os import asyncio import fcntl +''' +# Set up virtual device using +sudo ip link add virt0 type dummy +sudo ip link set up virt0 +sudo ip addr add 192.168.2.10/24 dev virt0 +''' + class MacDevReceiver(): def __init__(self, dut, eth_tx, eth_rx, dev): self.dut = dut @@ -28,7 +35,7 @@ class MacDevReceiver(): ETH_P_ALL=3 self.macdev=socket.socket(socket.AF_PACKET, socket.SOCK_RAW, socket.htons(ETH_P_ALL)) self.macdev.bind((dev, 0)) - fcntl.fcntl(self.macdev, fcntl.F_SETFL, os.O_NONBLOCK) + fcntl.fcntl(self.macdev, fcntl.F_SETFL, os.O_NONBLOCK) # Not the best way to poll, but I couldn't get asyncio to play nicely with threading... async def main(self): ETH_HEAD = b'\x55\x55\x55\x55\xD5'