diff --git a/design/top_mac_test.vhd b/design/top_mac_test.vhd index 097ce36..55fe456 100644 --- a/design/top_mac_test.vhd +++ b/design/top_mac_test.vhd @@ -52,6 +52,10 @@ architecture rtl of top_mac_test is others => false ); + type configuration_t is record + mac_address : mac_addr_t; + end record configuration_t; + constant ETH_CONFIG : configuration_t := ( mac_address => (x"00", x"ff", x"ff", x"11", x"22", x"33") );