From 18b4213ed0b2667742c4f0b38e5164d730221b6a Mon Sep 17 00:00:00 2001 From: Markus Koch Date: Sat, 25 Sep 2021 20:28:43 +0200 Subject: [PATCH] doc: Add Trashernet logo --- README.MD | 2 + doc/trashernet_logo.svg | 181 ++++++++++++++++++++++++++++++++++++++++ 2 files changed, 183 insertions(+) create mode 100644 doc/trashernet_logo.svg diff --git a/README.MD b/README.MD index 425ab71..0acb3a3 100644 --- a/README.MD +++ b/README.MD @@ -1,5 +1,7 @@ # Trashernet +![Trashernet Logo](doc/trashernet_logo.svg) + Trashernet is a very trashy Ethernet stack for FPGAs written in VHDL aiming to cover all OSI layers: * Layer 1, Physical: `trashernet_phy` diff --git a/doc/trashernet_logo.svg b/doc/trashernet_logo.svg new file mode 100644 index 0000000..996b650 --- /dev/null +++ b/doc/trashernet_logo.svg @@ -0,0 +1,181 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +