From 1bcddf4273f774563393c63846d7545cc298d159 Mon Sep 17 00:00:00 2001 From: Markus Koch Date: Sun, 23 Jan 2022 16:01:33 +0100 Subject: [PATCH] phy: Improve NLP timeout accuracy --- trashernet/trashernet_phy.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/trashernet/trashernet_phy.vhd b/trashernet/trashernet_phy.vhd index 43c710d..61a3ff4 100644 --- a/trashernet/trashernet_phy.vhd +++ b/trashernet/trashernet_phy.vhd @@ -56,7 +56,7 @@ begin signal bit_cnt : integer range 0 to 7; -- NLP supervision - constant NLP_TIMEOUT_CNT_MAX : integer := integer(round(real(F_CLK) * 16.0 * 10.0 ** (-3))); -- Every 16 ms + constant NLP_TIMEOUT_CNT_MAX : integer := integer(round(real(F_CLK) * 16.0 * 10.0 ** (-3))) - 1; -- Every 16 ms signal nlp_timeout_cnt : integer range 0 to NLP_TIMEOUT_CNT_MAX; begin -- Synchronize RX input