Fix code formatting

wip/cococi
Markus Koch 2021-09-04 19:33:44 +02:00
parent 743d2465d9
commit 1bff61d24a
2 changed files with 5 additions and 5 deletions

View File

@ -28,9 +28,9 @@ architecture bench of bench_crc is
begin
crc_inst : entity trashernet.crc
generic map(
POLYNOMIAL => POLYNOMIAL,
START => x"FFFFFFFF",
FINAL_XOR => x"FFFFFFFF",
POLYNOMIAL => POLYNOMIAL,
START => x"FFFFFFFF",
FINAL_XOR => x"FFFFFFFF",
REVERSE_OUT => true
)
port map(

View File

@ -27,11 +27,11 @@ entity trashernet_phy_cdc is
rst : in std_logic; -- Asynchronous reset
-- System interface
rx_data : out byte; -- RX Data
rx_data : out byte; -- RX Data
rx_data_valid : out std_logic; -- RX Data valid
rx_active : out std_logic; -- RX of packet in progress
tx_data : in byte; -- TX Data
tx_data : in byte; -- TX Data
tx_data_en : in std_logic; -- Transmitter enable
tx_data_ack : out std_logic; -- Latched data_tx
tx_active : out std_logic; -- Transmission in progress