diff --git a/trashernet/trashernet_phy.vhd b/trashernet/trashernet_phy.vhd index 4a9493d..09732b9 100644 --- a/trashernet/trashernet_phy.vhd +++ b/trashernet/trashernet_phy.vhd @@ -213,7 +213,6 @@ begin begin if rst then rx_data_valid <= '0'; - rx_data <= (others => '0'); elsif rising_edge(clk) then rx_data_valid <= '0';