diff --git a/trashernet/trashernet_phy.vhd b/trashernet/trashernet_phy.vhd index 8efc810..b809999 100644 --- a/trashernet/trashernet_phy.vhd +++ b/trashernet/trashernet_phy.vhd @@ -238,7 +238,7 @@ begin nlp_timeout_p : process(clk, rst) is begin if rst then - nlp_timeout_cnt <= NLP_TIMEOUT_CNT_MAX; + nlp_timeout_cnt <= 0; elsif rising_edge(clk) then if rx_edge then -- Technically, we should use only the rising edge here, but a project called `trashernet` probably won't mind ;) nlp_timeout_cnt <= NLP_TIMEOUT_CNT_MAX;