From 3c1c14f9531d4218454e220b36d4424f0c8e673e Mon Sep 17 00:00:00 2001 From: Markus Koch Date: Sun, 15 May 2022 14:37:21 +0200 Subject: [PATCH] bench: hw_itl: Add debug prints for RX/TX --- cocotb/hw_itl.py | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/cocotb/hw_itl.py b/cocotb/hw_itl.py index 7f691e4..6dbc301 100644 --- a/cocotb/hw_itl.py +++ b/cocotb/hw_itl.py @@ -50,6 +50,7 @@ class MacDevReceiver(): if len(r) < 60: r += b'\x00' * (60 - len(r)) r += self.eth_fcs(r) + self.dut._log.debug("DUT RX: " + str(r)) await self.eth_tx.send(ETH_HEAD + r); except: await Timer(1, "us") @@ -64,7 +65,7 @@ class MacDevReceiver(): except: self.dut._log.debug("NLP / Invalid frame") continue - self.dut._log.info("RX Frame: " + str(frame)) + self.dut._log.debug("DUT TX: " + str(frame)) self.macdev.send(frame) async def start(self):