diff --git a/trashernet/trashernet_eth.vhd b/trashernet/trashernet_eth.vhd index 09a3c2f..e442471 100644 --- a/trashernet/trashernet_eth.vhd +++ b/trashernet/trashernet_eth.vhd @@ -124,7 +124,7 @@ begin elsif rising_edge(clk) then case state is when IDLE => - if mac_out.tx_active then + if not mac_out.tx_active then if (ethernet_i_in.tx_en) then -- ETH I has priority sel <= SEL_ETH_I; state <= TXD; @@ -140,7 +140,7 @@ begin end if; when TXD => - state <= IDLE when (mac_in.tx_mac_data_en or mac_out.tx_active); + state <= IDLE when (mac_in.tx_mac_data_en or not mac_out.tx_active); end case; end if; end process arb;