diff --git a/trashernet/trashernet_eth.vhd b/trashernet/trashernet_eth.vhd index e442471..1f50269 100644 --- a/trashernet/trashernet_eth.vhd +++ b/trashernet/trashernet_eth.vhd @@ -147,6 +147,11 @@ begin mux : process(all) is begin + -- Defaults to avoid latch + tx_data_ack_i <= '0'; + tx_data_ack_ii <= (others => '0'); + + -- Actual MUX if (sel = SEL_ETH_I) then mac_in.tx_mac_data_en <= ethernet_i_in.tx_en; mac_in.tx_mac_data <= ethernet_i_in.tx_data;