From 6322f5a317ad709ca393374f4f8c6636158675cb Mon Sep 17 00:00:00 2001 From: Markus Koch Date: Tue, 31 Aug 2021 20:35:15 +0200 Subject: [PATCH] Add trashernet_types package --- trashernet/trashernet_types.vhd | 14 ++++++++++++++ 1 file changed, 14 insertions(+) create mode 100644 trashernet/trashernet_types.vhd diff --git a/trashernet/trashernet_types.vhd b/trashernet/trashernet_types.vhd new file mode 100644 index 0000000..c8b1b76 --- /dev/null +++ b/trashernet/trashernet_types.vhd @@ -0,0 +1,14 @@ +library ieee; +use ieee.std_logic_1164.all; + +package trashernet_types is + subtype byte is std_logic_vector(7 downto 0); + type byte_vector is array (natural range <>) of byte; + subtype mac_addr_t is byte_vector(0 to 5); + subtype ip_addr_t is byte_vector(0 to 3); + subtype ethertype_t is byte_vector(0 to 1); +end package trashernet_types; + +package body trashernet_types is + +end package body trashernet_types;