From 743d2465d9a45f99703776afc2fa36cab0e1b706 Mon Sep 17 00:00:00 2001 From: Markus Koch Date: Sat, 4 Sep 2021 19:33:32 +0200 Subject: [PATCH] Add license headers --- bench/bench_crc.vhd | 10 ++++++++++ bench/bench_pkg.vhd | 10 ++++++++++ bench/bench_trashernet_mac.vhd | 11 +++++++++++ bench/bench_trashernet_phy.vhd | 11 +++++++++++ trashernet/cdc_strobe.vhd | 10 ++++++++++ trashernet/crc.vhd | 10 ++++++++++ trashernet/synchronizer.vhd | 10 ++++++++++ trashernet/trashernet_mac.vhd | 11 +++++++++++ trashernet/trashernet_phy.vhd | 11 +++++++++++ trashernet/trashernet_phy_cdc.vhd | 11 +++++++++++ trashernet/trashernet_types.vhd | 10 ++++++++++ 11 files changed, 115 insertions(+) diff --git a/bench/bench_crc.vhd b/bench/bench_crc.vhd index 0b2049f..ebd846c 100644 --- a/bench/bench_crc.vhd +++ b/bench/bench_crc.vhd @@ -1,3 +1,13 @@ +-- -------------------------------------------------------------------------- -- +-- TRASHERNET - A Trashy Ethernet Stack for FPGAs -- +-- -------------------------------------------------------------------------- -- +-- bench_crc.vhd : Stimulus-only test bench for the CRC +-- -------------------------------------------------------------------------- -- +-- Author : Markus Koch +-- Contributors : None +-- License : Mozilla Public License (MPL) Version 2 +-- -------------------------------------------------------------------------- -- + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/bench/bench_pkg.vhd b/bench/bench_pkg.vhd index aa67b43..12db931 100644 --- a/bench/bench_pkg.vhd +++ b/bench/bench_pkg.vhd @@ -1,3 +1,13 @@ +-- -------------------------------------------------------------------------- -- +-- TRASHERNET - A Trashy Ethernet Stack for FPGAs -- +-- -------------------------------------------------------------------------- -- +-- bench_pkg.vhd : Types and functions used for the benches +-- -------------------------------------------------------------------------- -- +-- Author : Markus Koch +-- Contributors : None +-- License : Mozilla Public License (MPL) Version 2 +-- -------------------------------------------------------------------------- -- + library ieee; use ieee.std_logic_1164.all; diff --git a/bench/bench_trashernet_mac.vhd b/bench/bench_trashernet_mac.vhd index 0d7d314..8202dfd 100644 --- a/bench/bench_trashernet_mac.vhd +++ b/bench/bench_trashernet_mac.vhd @@ -1,3 +1,14 @@ +-- -------------------------------------------------------------------------- -- +-- TRASHERNET - A Trashy Ethernet Stack for FPGAs -- +-- -------------------------------------------------------------------------- -- +-- bench_trashernet_mac.vhd : Stimulus-only test bench for the MAC+PHY parts +-- Tests TX path through the RX path. Not great, but whatever. +-- -------------------------------------------------------------------------- -- +-- Author : Markus Koch +-- Contributors : None +-- License : Mozilla Public License (MPL) Version 2 +-- -------------------------------------------------------------------------- -- + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/bench/bench_trashernet_phy.vhd b/bench/bench_trashernet_phy.vhd index d867a3a..e61840f 100644 --- a/bench/bench_trashernet_phy.vhd +++ b/bench/bench_trashernet_phy.vhd @@ -1,3 +1,14 @@ +-- -------------------------------------------------------------------------- -- +-- TRASHERNET - A Trashy Ethernet Stack for FPGAs -- +-- -------------------------------------------------------------------------- -- +-- bench_trashernet_phy.vhd : Stimulus-only test bench for the PHY part +-- Tests only the RX path. +-- -------------------------------------------------------------------------- -- +-- Author : Markus Koch +-- Contributors : None +-- License : Mozilla Public License (MPL) Version 2 +-- -------------------------------------------------------------------------- -- + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/trashernet/cdc_strobe.vhd b/trashernet/cdc_strobe.vhd index 93ed74c..fe3a47e 100644 --- a/trashernet/cdc_strobe.vhd +++ b/trashernet/cdc_strobe.vhd @@ -1,3 +1,13 @@ +-- -------------------------------------------------------------------------- -- +-- TRASHERNET - A Trashy Ethernet Stack for FPGAs -- +-- -------------------------------------------------------------------------- -- +-- cdc_strobe.vhd : CDC for strobe-signals +-- Make sure to leave enough(TM) time between strobes. +-- -------------------------------------------------------------------------- -- +-- Author : Markus Koch +-- Contributors : None +-- License : Mozilla Public License (MPL) Version 2 +-- -------------------------------------------------------------------------- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/trashernet/crc.vhd b/trashernet/crc.vhd index 186eca7..9103f12 100644 --- a/trashernet/crc.vhd +++ b/trashernet/crc.vhd @@ -1,3 +1,13 @@ +-- -------------------------------------------------------------------------- -- +-- TRASHERNET - A Trashy Ethernet Stack for FPGAs -- +-- -------------------------------------------------------------------------- -- +-- crc.vhd : Generic CRC implementation +-- -------------------------------------------------------------------------- -- +-- Author : Markus Koch +-- Contributors : None +-- License : Mozilla Public License (MPL) Version 2 +-- -------------------------------------------------------------------------- -- + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/trashernet/synchronizer.vhd b/trashernet/synchronizer.vhd index 4408936..7cd9e8b 100644 --- a/trashernet/synchronizer.vhd +++ b/trashernet/synchronizer.vhd @@ -1,3 +1,13 @@ +-- -------------------------------------------------------------------------- -- +-- TRASHERNET - A Trashy Ethernet Stack for FPGAs -- +-- -------------------------------------------------------------------------- -- +-- synchronizer.vhd : Basic shift-register based synchronizer +-- -------------------------------------------------------------------------- -- +-- Author : Markus Koch +-- Contributors : None +-- License : Mozilla Public License (MPL) Version 2 +-- -------------------------------------------------------------------------- -- + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/trashernet/trashernet_mac.vhd b/trashernet/trashernet_mac.vhd index db91d56..48f5a27 100644 --- a/trashernet/trashernet_mac.vhd +++ b/trashernet/trashernet_mac.vhd @@ -1,3 +1,14 @@ +-- -------------------------------------------------------------------------- -- +-- TRASHERNET - A Trashy Ethernet Stack for FPGAs -- +-- -------------------------------------------------------------------------- -- +-- trashernet_mac.vhd : Ethernet OSI Layer 2, Data Link +-- Implements packet handling and MAC-Layer en/decoding. +-- -------------------------------------------------------------------------- -- +-- Author : Markus Koch +-- Contributors : None +-- License : Mozilla Public License (MPL) Version 2 +-- -------------------------------------------------------------------------- -- + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/trashernet/trashernet_phy.vhd b/trashernet/trashernet_phy.vhd index a86028d..b1999a6 100644 --- a/trashernet/trashernet_phy.vhd +++ b/trashernet/trashernet_phy.vhd @@ -1,3 +1,14 @@ +-- -------------------------------------------------------------------------- -- +-- TRASHERNET - A Trashy Ethernet Stack for FPGAs -- +-- -------------------------------------------------------------------------- -- +-- trashernet_phy.vhd : Ethernet OSI Layer 1, Physical +-- Implements low-level bit encoding and timing and frame synchronization. +-- -------------------------------------------------------------------------- -- +-- Author : Markus Koch +-- Contributors : None +-- License : Mozilla Public License (MPL) Version 2 +-- -------------------------------------------------------------------------- -- + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/trashernet/trashernet_phy_cdc.vhd b/trashernet/trashernet_phy_cdc.vhd index 2367339..adc1729 100644 --- a/trashernet/trashernet_phy_cdc.vhd +++ b/trashernet/trashernet_phy_cdc.vhd @@ -1,3 +1,14 @@ +-- -------------------------------------------------------------------------- -- +-- TRASHERNET - A Trashy Ethernet Stack for FPGAs -- +-- -------------------------------------------------------------------------- -- +-- trashernet_phy_cdc.vhd : Dual clock wrapper for the trashernet_phy +-- Implements CDC between the PHY and DATA clock domains. +-- -------------------------------------------------------------------------- -- +-- Author : Markus Koch +-- Contributors : None +-- License : Mozilla Public License (MPL) Version 2 +-- -------------------------------------------------------------------------- -- + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/trashernet/trashernet_types.vhd b/trashernet/trashernet_types.vhd index c8b1b76..b3013eb 100644 --- a/trashernet/trashernet_types.vhd +++ b/trashernet/trashernet_types.vhd @@ -1,3 +1,13 @@ +-- -------------------------------------------------------------------------- -- +-- TRASHERNET - A Trashy Ethernet Stack for FPGAs -- +-- -------------------------------------------------------------------------- -- +-- trashernet_types.vhd : VHDL types used throughout Trashernet +-- -------------------------------------------------------------------------- -- +-- Author : Markus Koch +-- Contributors : None +-- License : Mozilla Public License (MPL) Version 2 +-- -------------------------------------------------------------------------- -- + library ieee; use ieee.std_logic_1164.all;