From 8d9ca9e78407532f668d52b7a6f32d5da56c1746 Mon Sep 17 00:00:00 2001 From: Markus Koch Date: Sun, 30 Oct 2022 17:27:43 +0100 Subject: [PATCH] arp: Rename timeout_tick to systick --- design/top_hwitl.vhd | 4 ++-- trashernet/trashernet_arp.vhd | 8 ++++---- 2 files changed, 6 insertions(+), 6 deletions(-) diff --git a/design/top_hwitl.vhd b/design/top_hwitl.vhd index 54dc98d..a5a4fce 100644 --- a/design/top_hwitl.vhd +++ b/design/top_hwitl.vhd @@ -216,12 +216,12 @@ architecture eth of top_hwitl is begin trashernet_arp_inst : entity trashernet.trashernet_arp generic map( - TIMEOUT_TICK_FREQ => F_CLK + SYSTICK_FREQ => F_CLK ) port map( clk => clk, rst => rst, - timeout_tick => '1', + systick => '1', mac_config => ETH_CONFIG, ip_config => IP_CONFIG, arp_out => arp_out, diff --git a/trashernet/trashernet_arp.vhd b/trashernet/trashernet_arp.vhd index 49c5f66..552bc45 100644 --- a/trashernet/trashernet_arp.vhd +++ b/trashernet/trashernet_arp.vhd @@ -17,13 +17,13 @@ use work.trashernet_pkg.all; entity trashernet_arp is generic( - TIMEOUT_TICK_FREQ : integer + SYSTICK_FREQ : integer ); port( -- Global clk : in std_logic; -- Global clock rst : in std_logic; -- Asynchronous reset - timeout_tick : in std_logic; -- Global timeout tick strobe + systick : in std_logic; -- Global time reference for slow events (here: timeout) -- Configuration mac_config : in configuration_t; -- Trashernet MAC configuration @@ -124,14 +124,14 @@ begin timeout_timer_inst : entity work.timer generic map( - F_TICK => TIMEOUT_TICK_FREQ, + F_TICK => SYSTICK_FREQ, DURATION => ARP_TIMEOUT, AUTOSTART => false ) port map( clk => clk, rst => rst, - tick => timeout_tick, + tick => systick, start => arp_tx_request_rq, expired => query_timeout, expired_stb => open