diff --git a/design/top_mac_test.vhd b/design/top_mac_test.vhd index 55fe456..097ce36 100644 --- a/design/top_mac_test.vhd +++ b/design/top_mac_test.vhd @@ -52,10 +52,6 @@ architecture rtl of top_mac_test is others => false ); - type configuration_t is record - mac_address : mac_addr_t; - end record configuration_t; - constant ETH_CONFIG : configuration_t := ( mac_address => (x"00", x"ff", x"ff", x"11", x"22", x"33") ); diff --git a/trashernet/trashernet_pkg.vhd b/trashernet/trashernet_pkg.vhd index fe56b9a..104e8fd 100644 --- a/trashernet/trashernet_pkg.vhd +++ b/trashernet/trashernet_pkg.vhd @@ -29,6 +29,11 @@ package trashernet_pkg is -- IP specific types subtype ip_addr_t is byte_vector(0 to 3); + -- Configuration interface + type configuration_t is record + mac_address : mac_addr_t; -- MAC address of this node + end record configuration_t; + -- PHY interface type phy_in_t is record tx_data : byte; -- TX Data