diff --git a/bench/bench_pkg.vhd b/bench/bench_pkg.vhd index 12db931..fe9ce39 100644 --- a/bench/bench_pkg.vhd +++ b/bench/bench_pkg.vhd @@ -12,7 +12,7 @@ library ieee; use ieee.std_logic_1164.all; library trashernet; -use trashernet.trashernet_types.all; +use trashernet.trashernet_pkg.all; package bench_pkg is procedure send_data(signal rx_p : inout std_logic; constant data : in byte_vector); diff --git a/bench/bench_trashernet_mac.vhd b/bench/bench_trashernet_mac.vhd index 8202dfd..0755ea1 100644 --- a/bench/bench_trashernet_mac.vhd +++ b/bench/bench_trashernet_mac.vhd @@ -16,7 +16,7 @@ use ieee.numeric_std.all; use work.bench_pkg.all; library trashernet; -use trashernet.trashernet_types.all; +use trashernet.trashernet_pkg.all; entity bench_trashernet_mac is end entity bench_trashernet_mac; diff --git a/bench/bench_trashernet_phy.vhd b/bench/bench_trashernet_phy.vhd index e61840f..9980691 100644 --- a/bench/bench_trashernet_phy.vhd +++ b/bench/bench_trashernet_phy.vhd @@ -16,7 +16,7 @@ use ieee.numeric_std.all; use work.bench_pkg.all; library trashernet; -use trashernet.trashernet_types.all; +use trashernet.trashernet_pkg.all; entity bench_trashernet_phy is end entity bench_trashernet_phy; diff --git a/trashernet/trashernet_mac.vhd b/trashernet/trashernet_mac.vhd index 48f5a27..3868f88 100644 --- a/trashernet/trashernet_mac.vhd +++ b/trashernet/trashernet_mac.vhd @@ -13,7 +13,7 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -use work.trashernet_types.all; +use work.trashernet_pkg.all; entity trashernet_mac is port( diff --git a/trashernet/trashernet_phy.vhd b/trashernet/trashernet_phy.vhd index b1999a6..f928eed 100644 --- a/trashernet/trashernet_phy.vhd +++ b/trashernet/trashernet_phy.vhd @@ -14,7 +14,7 @@ use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; -use work.trashernet_types.all; +use work.trashernet_pkg.all; entity trashernet_phy is generic( diff --git a/trashernet/trashernet_phy_cdc.vhd b/trashernet/trashernet_phy_cdc.vhd index e4d4a7f..1a470d4 100644 --- a/trashernet/trashernet_phy_cdc.vhd +++ b/trashernet/trashernet_phy_cdc.vhd @@ -13,7 +13,7 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -use work.trashernet_types.all; +use work.trashernet_pkg.all; entity trashernet_phy_cdc is generic( diff --git a/trashernet/trashernet_types.vhd b/trashernet/trashernet_pkg.vhd similarity index 87% rename from trashernet/trashernet_types.vhd rename to trashernet/trashernet_pkg.vhd index b3013eb..7fd21ca 100644 --- a/trashernet/trashernet_types.vhd +++ b/trashernet/trashernet_pkg.vhd @@ -11,14 +11,14 @@ library ieee; use ieee.std_logic_1164.all; -package trashernet_types is +package trashernet_pkg is subtype byte is std_logic_vector(7 downto 0); type byte_vector is array (natural range <>) of byte; subtype mac_addr_t is byte_vector(0 to 5); subtype ip_addr_t is byte_vector(0 to 3); subtype ethertype_t is byte_vector(0 to 1); -end package trashernet_types; +end package trashernet_pkg; -package body trashernet_types is +package body trashernet_pkg is -end package body trashernet_types; +end package body trashernet_pkg;