From 9afdb984f919352b0758c32b4ba5762e5dcbae5d Mon Sep 17 00:00:00 2001 From: Markus Koch Date: Sat, 4 Sep 2021 19:08:42 +0200 Subject: [PATCH] phy: tx: Pass tx_n through the CDC wrapper --- trashernet/trashernet_phy_cdc.vhd | 6 ++++-- 1 file changed, 4 insertions(+), 2 deletions(-) diff --git a/trashernet/trashernet_phy_cdc.vhd b/trashernet/trashernet_phy_cdc.vhd index 2f711ec..2367339 100644 --- a/trashernet/trashernet_phy_cdc.vhd +++ b/trashernet/trashernet_phy_cdc.vhd @@ -30,7 +30,8 @@ entity trashernet_phy_cdc is -- Ethernet physical signals rx_p : in std_logic; - tx_p : out std_logic + tx_p : out std_logic; + tx_n : out std_logic ); end entity trashernet_phy_cdc; @@ -80,7 +81,8 @@ begin carrier_detect => phy_carrier_detect, rx_error => phy_rx_error, rx_p => rx_p, - tx_p => tx_p + tx_p => tx_p, + tx_n => tx_n ); synchronizer_txen_inst : entity work.synchronizer