phy: tx: Pass tx_n through the CDC wrapper

wip/cococi
Markus Koch 2021-09-04 19:08:42 +02:00
parent 13ef230503
commit 9afdb984f9
1 changed files with 4 additions and 2 deletions

View File

@ -30,7 +30,8 @@ entity trashernet_phy_cdc is
-- Ethernet physical signals -- Ethernet physical signals
rx_p : in std_logic; rx_p : in std_logic;
tx_p : out std_logic tx_p : out std_logic;
tx_n : out std_logic
); );
end entity trashernet_phy_cdc; end entity trashernet_phy_cdc;
@ -80,7 +81,8 @@ begin
carrier_detect => phy_carrier_detect, carrier_detect => phy_carrier_detect,
rx_error => phy_rx_error, rx_error => phy_rx_error,
rx_p => rx_p, rx_p => rx_p,
tx_p => tx_p tx_p => tx_p,
tx_n => tx_n
); );
synchronizer_txen_inst : entity work.synchronizer synchronizer_txen_inst : entity work.synchronizer