diff --git a/trashernet/trashernet_eth.vhd b/trashernet/trashernet_eth.vhd index 77d7d84..3195958 100644 --- a/trashernet/trashernet_eth.vhd +++ b/trashernet/trashernet_eth.vhd @@ -141,7 +141,7 @@ begin end if; when TXD => - state <= IDLE when (mac_in.tx_mac_data_en or not mac_out.tx_active); + state <= IDLE when (not mac_in.tx_mac_data_en or not mac_out.tx_active); end case; end if; end process arb;