diff --git a/trashernet/trashernet_phy.vhd b/trashernet/trashernet_phy.vhd index ddcb46d..7439b54 100644 --- a/trashernet/trashernet_phy.vhd +++ b/trashernet/trashernet_phy.vhd @@ -160,6 +160,10 @@ begin last_transition <= LONG; end case; end if; + + if (not transition_activity) then + last_transition <= LONG; + end if; end if; end process transition_analyzer;