diff --git a/trashernet/trashernet_ipv4.vhd b/trashernet/trashernet_ipv4.vhd index 80af075..d27ceec 100644 --- a/trashernet/trashernet_ipv4.vhd +++ b/trashernet/trashernet_ipv4.vhd @@ -61,7 +61,6 @@ begin signal header_ok : std_logic; signal bytecount : integer range 0 to 65535; - signal shifted : std_logic; signal block_done : std_logic; type state_t is (HEADER, OPT, PAYLOAD, DONE); @@ -79,7 +78,6 @@ begin begin if rst then state <= HEADER; - shifted <= '0'; ipv4_out.rx_ok_stb <= '0'; ipv4_out.rx_error_stb <= '0'; ipv4_out.rx_header_rcv <= '0'; @@ -90,8 +88,6 @@ begin ipv4_out.rx_error_stb <= '0'; ipv4_out.rx_header_rcv <= '0'; - shifted <= ethernet_ii_out.rx_data_valid; - if (ethernet_ii_out.rx_crc_ok or ethernet_ii_out.rx_crc_error) then state <= HEADER; bytecount <= BYTECOUNT_HEADER; diff --git a/trashernet/trashernet_ipv4prot.vhd b/trashernet/trashernet_ipv4prot.vhd index 8adf30c..edd6708 100644 --- a/trashernet/trashernet_ipv4prot.vhd +++ b/trashernet/trashernet_ipv4prot.vhd @@ -37,8 +37,6 @@ end entity trashernet_ipv4prot; architecture rtl of trashernet_ipv4prot is constant SEL_PROTOCOL_NONE : integer := ipv4_protocol_out'low - 1; - -- signal tx_to_rx : ipv4_protocol_out_vector(ipv4_protocol_out'range); -- Only using `tx_` signals - signal rx_sel : integer range SEL_PROTOCOL_NONE to ipv4_protocol_out'high; signal tx_sel : integer range ipv4_protocol_in'low to ipv4_protocol_in'high;