diff --git a/trashernet/trashernet_phy.vhd b/trashernet/trashernet_phy.vhd index 1207ce5..e467c2f 100644 --- a/trashernet/trashernet_phy.vhd +++ b/trashernet/trashernet_phy.vhd @@ -268,7 +268,7 @@ begin signal sr : std_logic_vector(phy_in.tx_data'range); signal bit_stage : std_logic; - constant BIT_CNT_MAX_NLP : integer := 16000 / 100; -- 16 ms (timebase 100 ns) + constant BIT_CNT_MAX_NLP : integer := 16000000 / 100; -- 16 ms (timebase 100 ns) constant BIT_CNT_MAX_IPG : integer := 96; constant BIT_CNT_MAX_DATA : integer := sr'length - 1; signal bit_cnt : integer range 0 to maximum(maximum(BIT_CNT_MAX_NLP, BIT_CNT_MAX_DATA), BIT_CNT_MAX_IPG);