diff --git a/trashernet/trashernet_phy.vhd b/trashernet/trashernet_phy.vhd index 61a3ff4..9116f33 100644 --- a/trashernet/trashernet_phy.vhd +++ b/trashernet/trashernet_phy.vhd @@ -396,8 +396,8 @@ begin tx_p <= '1'; tx_n <= '0'; when ACTIVE => - tx_p <= sr(sr'low) xor bit_stage; - tx_n <= not (sr(sr'low) xor bit_stage); + tx_p <= sr(sr'low) xnor bit_stage; + tx_n <= not (sr(sr'low) xnor bit_stage); end case; end if; end process driver;