diff --git a/trashernet/trashernet_eth.vhd b/trashernet/trashernet_eth.vhd index e019f18..77d7d84 100644 --- a/trashernet/trashernet_eth.vhd +++ b/trashernet/trashernet_eth.vhd @@ -120,6 +120,7 @@ begin arb : process(clk, rst) is begin if rst then + sel <= SEL_ETH_I; elsif rising_edge(clk) then case state is