#!/bin/bash echo Hardware in the loop test make TOPLEVEL=cocotb_top_hwitl MODULE=hw_itl