#!/bin/bash echo Hardware in the loop test make TOPLEVEL=cocotb_top_mac_test MODULE=hw_itl