trashernet/cocotb/hw_itl.sh

6 lines
90 B
Bash
Executable File

#!/bin/bash
echo Hardware in the loop test
make TOPLEVEL=cocotb_top_hwitl MODULE=hw_itl