model-ghdl/README.md

61 lines
2.0 KiB
Markdown
Raw Permalink Normal View History

2015-01-18 20:40:39 +01:00
model-ghdl
==========
2015-04-09 09:45:27 +02:00
A wrapper for GHDL to make it look like Mentor's ModelSim. Helpful for use with programs like Sigasi.
2016-04-29 20:38:30 +02:00
2016-04-29 20:49:51 +02:00
Compiling and use
-----------------
2016-04-30 11:54:10 +02:00
First install ghdl from [github](https://github.com/tgingold/ghdl/) and install GtkWave. Model-ghdl expects to find the ghdl and gtkwave executables in the system $PATH.
2016-04-29 20:49:51 +02:00
Then, to compile the wrapper, simply do the following:
```
2016-05-18 17:17:24 +02:00
git clone https://github.com/cclassic/model-ghdl
2016-04-29 20:49:51 +02:00
cmake .
make
```
The five modelsim applications should now be present in the current folder as symlinks along with a model-ghdl binary. Either install these into your path or point your IDE to the location of the files.
2016-04-29 20:38:30 +02:00
VCOM
----
### Original commands
2016-04-29 20:49:51 +02:00
* -work *top*: Set top level
* -workdir *dir*: Set working directory
2016-04-29 20:38:30 +02:00
* -87, -93, -93c, -2000, -2002, -2008: Set VHDL version
### Additional commands
2016-04-29 20:49:51 +02:00
* -ghdl *param*: Supply these arguments directly to GHDL (can be used multiple times)
* -no-precompile: Compile the files not until calling vsim. (Faster syntax check, but longer wait time for the simulation to start.)
2016-04-29 20:38:30 +02:00
### Notes
2016-04-29 20:49:51 +02:00
* *cwd* is expected to be the compile directory
2016-05-18 17:17:24 +02:00
* Recommended arguments: -ghdl --ieee=synopsys -ghdl -fexplicit -ghdl -frelaxed-rules -ghdl -P[/path/to/lib](https://github.com/tgingold/ghdl/tree/master/libraries/vendors)
2016-04-29 20:38:30 +02:00
VSIM
----
### Original commands
2016-04-29 20:49:51 +02:00
* -gui *work.toplevel(RTL)*: Set toplevel and work library
2016-04-29 20:38:30 +02:00
### Additional commands
2016-04-29 20:49:51 +02:00
* -ghdl *param*: Supply these arguments directly to GHDL (can be used multiple times)
* -gtkwprefix *prefix*: Prefix for the .gtkw save file. Path will be $cwd/$prefix$toplevel.gtkw
* -type *extension*: Set simulation output file type. Can be: ghw (default), vcd or fst
2016-04-29 20:38:30 +02:00
### Notes
2016-04-29 20:49:51 +02:00
* *cwd* is expected to be the source directory (used for .gtkw save path)
2016-05-18 17:17:24 +02:00
* Recommended arguments: -gtkwprefix gtkwave/ -ghdl --ieee=synopsys -ghdl -fexplicit -ghdl -frelaxed-rules -ghdl -P[/path/to/lib](https://github.com/tgingold/ghdl/tree/master/libraries/vendors)
2016-04-29 20:49:51 +02:00
VMAP
----
No function.
VLIB
----
No function.
VDEL
----
No function.