1
0
mirror of https://github.com/cclassic/model-ghdl synced 2024-09-28 02:45:06 +02:00
Commit Graph

20 Commits

Author SHA1 Message Date
1df04509a4 [vcom] Bugfix where non-source error/warnings would not be displayed 2016-05-21 22:02:09 +02:00
710ad3cb01 Added support for multiple wave file types 2016-05-20 20:34:32 +02:00
171277632c Improved argument parsing 2016-05-18 17:17:16 +02:00
fe7a3f7225 Removed fgets when parsing process output as it failed on multiline-stuff 2016-05-17 22:09:39 +02:00
a62951aed2 Fixed typo 2016-05-08 15:57:46 +02:00
7189e18c19 Added support for GHDL warnings 2016-05-08 15:15:18 +02:00
ea2dffbb6d Added support for newer VHDL versions 2016-05-08 14:56:00 +02:00
23a642820e Yet another buffer size fix 2016-05-08 14:28:38 +02:00
fdf04cb659 Changed buffer realloc size for file names in vcom. 2016-05-08 12:45:14 +02:00
ad960b7243 vcom: bugfix: params did not work 2016-04-30 11:50:01 +02:00
a989b7bb06 Removed debug stuff 2016-04-29 21:47:03 +02:00
53f3e187a4 vcom: Space added for -ghdl param 2016-04-29 20:38:22 +02:00
4a64ba77a0 Fixed gtkwave automatic save file 2016-04-29 20:31:13 +02:00
7f211ef8a3 printf cleanups 2016-04-29 20:19:39 +02:00
436ef08df0 Fully functional now 2016-04-29 20:00:51 +02:00
cc44efb787 Invoked compiler, simtime and error dialog 2015-10-23 20:47:21 +02:00
5c4b51a3ae Started vsim 2015-10-23 18:54:48 +02:00
e85e7d7516 Added vlib, vmap and vdel 2015-10-23 15:31:06 +02:00
5969ad5848 Finished vcom 2015-10-23 15:28:26 +02:00
d65c627efa Rewrite in plain C and gtk 2015-10-22 20:23:24 +02:00