A wrapper for GHDL to make it look like Mentor's ModelSim. Helpful for use with programs like Sigasi.
Go to file
Markus Koch fad1f6433c Changed versioning to include nth commit instead of only commit hash 2016-06-04 16:30:19 +02:00
arch Changed versioning to include nth commit instead of only commit hash 2016-06-04 16:30:19 +02:00
.gitignore Rewrite in plain C and gtk 2015-10-22 20:23:24 +02:00
CMakeLists.txt Added installation instructions to CMakeLists 2016-05-30 17:59:47 +02:00
LICENSE Init commit 2015-01-18 20:40:39 +01:00
README.md Changed default compilation method to compile-on-vcom. See README.MD on how to revert to syntax-check-only behavior. 2016-05-22 11:26:16 +02:00
gui.c GUI: Fixed an error where an error message would re-show the simulation time box 2016-05-08 16:17:11 +02:00
gui.h Invoked compiler, simtime and error dialog 2015-10-23 20:47:21 +02:00
main.c Added wrapper function for debugging 2016-05-30 19:49:16 +02:00

README.md

model-ghdl

A wrapper for GHDL to make it look like Mentor's ModelSim. Helpful for use with programs like Sigasi.

Compiling and use

First install ghdl from github and install GtkWave. Model-ghdl expects to find the ghdl and gtkwave executables in the system $PATH.

Then, to compile the wrapper, simply do the following:

git clone https://github.com/cclassic/model-ghdl
cmake .
make

The five modelsim applications should now be present in the current folder as symlinks along with a model-ghdl binary. Either install these into your path or point your IDE to the location of the files.

VCOM

Original commands

  • -work top: Set top level
  • -workdir dir: Set working directory
  • -87, -93, -93c, -2000, -2002, -2008: Set VHDL version

Additional commands

  • -ghdl param: Supply these arguments directly to GHDL (can be used multiple times)
  • -no-precompile: Compile the files not until calling vsim. (Faster syntax check, but longer wait time for the simulation to start.)

Notes

  • cwd is expected to be the compile directory
  • Recommended arguments: -ghdl --ieee=synopsys -ghdl -fexplicit -ghdl -frelaxed-rules -ghdl -P/path/to/lib

VSIM

Original commands

  • -gui work.toplevel(RTL): Set toplevel and work library

Additional commands

  • -ghdl param: Supply these arguments directly to GHDL (can be used multiple times)
  • -gtkwprefix prefix: Prefix for the .gtkw save file. Path will be $cwd/$prefix$toplevel.gtkw
  • -type extension: Set simulation output file type. Can be: ghw (default), vcd or fst

Notes

  • cwd is expected to be the source directory (used for .gtkw save path)
  • Recommended arguments: -gtkwprefix gtkwave/ -ghdl --ieee=synopsys -ghdl -fexplicit -ghdl -frelaxed-rules -ghdl -P/path/to/lib

VMAP

No function.

VLIB

No function.

VDEL

No function.