mor1kx-bemicrocv/ip/intercon/rtl/test_slave_package.vhd

21 lines
475 B
VHDL
Raw Permalink Normal View History

2016-08-04 19:22:38 +02:00
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.wishbone_package.all;
package test_slave_package is
component test_slave is
generic(
delay : integer := 1
);
port(
-- Common wishbone signals
clk : in std_logic;
rst : in std_logic;
-- Slave control port
slave_i : in wishbone_slave_in;
slave_o : out wishbone_slave_out
);
end component;
end test_slave_package;