mor1kx-bemicrocv/compile.do

39 lines
2.8 KiB
Plaintext

vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_branch_prediction.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_ctrl_espresso.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_fetch_espresso.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_simple_dpram_sclk.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_bus_if_avalon.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_ctrl_prontoespresso.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_fetch_prontoespresso.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx-sprs.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_bus_if_wb32.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_dcache.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_fetch_tcm_prontoespresso.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_store_buffer.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_cache_lru.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_decode_execute_cappuccino.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_icache.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_ticktimer.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_cfgrs.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_decode.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_immu.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_true_dpram_sclk.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_cpu_cappuccino.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx-defines.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_lsu_cappuccino.v
vlog -sv -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_utils.vh
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_cpu_espresso.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_dmmu.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_lsu_espresso.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_cpu_prontoespresso.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_execute_alu.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_pic.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_wb_mux_cappuccino.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_cpu.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_execute_ctrl_cappuccino.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_rf_cappuccino.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_wb_mux_espresso.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_ctrl_cappuccino.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_fetch_cappuccino.v
vlog -work mor1kx ip/mor1kx-mor1kx_v4/rtl/verilog/mor1kx_rf_espresso.v