mor1kx-bemicrocv/ip/altera/ddr3.qip

5461 lines
881 KiB
Plaintext

set_global_assignment -entity "ddr3" -library "ddr3" -name IP_TOOL_NAME "altera_mem_if_ddr3_emif"
set_global_assignment -entity "ddr3" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -library "ddr3" -name SOPCINFO_FILE [file join $::quartus(qip_path) "ddr3.sopcinfo"]
set_global_assignment -entity "ddr3" -library "ddr3" -name SLD_INFO "QSYS_NAME ddr3 HAS_SOPCINFO 1"
set_global_assignment -library "ddr3" -name MISC_FILE [file join $::quartus(qip_path) "ddr3.cmp"]
set_global_assignment -entity "ddr3" -library "ddr3" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V"
set_global_assignment -entity "ddr3" -library "ddr3" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}"
set_global_assignment -entity "ddr3" -library "ddr3" -name IP_QSYS_MODE "UNKNOWN"
set_global_assignment -name SYNTHESIS_ONLY_QIP ON
set_global_assignment -entity "ddr3" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyMw=="
set_global_assignment -entity "ddr3" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "RERSMyBTRFJBTSBDb250cm9sbGVyIHdpdGggVW5pUEhZ"
set_global_assignment -entity "ddr3" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ddr3" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "RERSMyBTRFJBTSBDb250cm9sbGVyIHdpdGggVW5pUEhZ"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM18wMDAy"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "RERSMyBTRFJBTSBDb250cm9sbGVyIHdpdGggVW5pUEhZ"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "RERSMyBTRFJBTSBDb250cm9sbGVyIHdpdGggVW5pUEhZ"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMA==::MDAwMTAwMDExMDAwMQ==::QUNfUk9NX01SMA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9NSVJS::MDAwMTAwMTAwMTAwMQ==::QUNfUk9NX01SMF9NSVJS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVQ=::MDAwMTEwMDExMDAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg==::MDAwMTAxMTAwMTAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMQ==::MDAwMDAwMTAwMDAwMA==::QUNfUk9NX01SMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMV9NSVJS::MDAwMDAwMDEwMDAwMA==::QUNfUk9NX01SMV9NSVJS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMg==::MDAwMDAwMDAwMTAwMA==::QUNfUk9NX01SMg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMl9NSVJS::MDAwMDAwMDAxMDAwMA==::QUNfUk9NX01SMl9NSVJS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMw==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SM19NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SM19NSVJS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ::dHJ1ZQ==::VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0JM::MQ==::TVIwX0JM"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0JU::MA==::TVIwX0JU"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0NBU19MQVRFTkNZ::Mw==::TVIwX0NBU19MQVRFTkNZ"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0RMTA==::MQ==::TVIwX0RMTA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX1dS::MQ==::TVIwX1dS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX1BE::MA==::TVIwX1BE"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX0RMTA==::MA==::TVIxX0RMTA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX09EUw==::MA==::TVIxX09EUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1JUVA==::Mg==::TVIxX1JUVA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX0FM::MA==::TVIxX0FM"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1dM::MA==::TVIxX1dM"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1REUVM=::MA==::TVIxX1REUVM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1FPRkY=::MA==::TVIxX1FPRkY="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX0RRUw==::MA==::TVIxX0RRUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1JEUVM=::MA==::TVIxX1JEUVM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX0NXTA==::MQ==::TVIyX0NXTA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX0FTUg==::MA==::TVIyX0FTUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX1NSVA==::MA==::TVIyX1NSVA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX1NSRg==::MA==::TVIyX1NSRg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX1JUVF9XUg==::MA==::TVIyX1JUVF9XUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9SRg==::MA==::TVIzX01QUl9SRg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIzX01QUg==::MA==::TVIzX01QUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9BQQ==::MA==::TVIzX01QUl9BQQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JFQURfRFFTX1dJRFRI::Mg==::TUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSSVRFX0RRU19XSURUSA==::Mg==::TUVNX0lGX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0NDX0RBVEFfV0lEVEg=::MQ==::U0NDX0RBVEFfV0lEVEg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEg=::MTM=::TUVNX0lGX0FERFJfV0lEVEg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEhfTUlO::MTM=::TUVNX0lGX0FERFJfV0lEVEhfTUlO"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JPV19BRERSX1dJRFRI::MTM=::TUVNX0lGX1JPV19BRERSX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTF9BRERSX1dJRFRI::MTA=::TUVNX0lGX0NPTF9BRERSX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1dJRFRI::Mg==::TUVNX0lGX0RNX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9SQU5L::MQ==::TUVNX0lGX0NTX1BFUl9SQU5L"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX05VTUJFUl9PRl9SQU5LUw==::MQ==::TUVNX0lGX05VTUJFUl9PRl9SQU5LUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9ESU1N::MQ==::TUVNX0lGX0NTX1BFUl9ESU1N"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTlRST0xfV0lEVEg=::MQ==::TUVNX0lGX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JVUlNUX0xFTkdUSA==::OA==::TUVNX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0xFVkVMSU5H::ZmFsc2U=::TUVNX0xFVkVMSU5H"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU19XSURUSA==::Mg==::TnVtYmVyIG9mIERRUyBncm91cHM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1dJRFRI::MQ==::TUVNX0lGX0NTX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NISVBfQklUUw==::MQ==::TUVNX0lGX0NISVBfQklUUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JBTktBRERSX1dJRFRI::Mw==::TUVNX0lGX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRX1dJRFRI::MTY=::TUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NLX1dJRFRI::MQ==::TUVNX0lGX0NLX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19FTl9XSURUSA==::MQ==::TUVNX0lGX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19QQUlSX0NPVU5U::MQ==::TUVNX0lGX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX1dJRFRI::MQ==::REVWSUNFX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfTlM=::MS41::TUVNX0NMS19NQVhfTlM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfUFM=::MTUwMC4w::TUVNX0NMS19NQVhfUFM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQw==::MTU=::TUVNX1RSQw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVM=::MTE=::TUVNX1RSQVM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0Q=::NQ==::TUVNX1RSQ0Q="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUA==::NQ==::TUVNX1RSUA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJ::MjM0MQ==::TUVNX1RSRUZJ"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkM=::MzQ=::TUVNX1RSRkM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0Q=::MQ==::Q0ZHX1RDQ0Q="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RXUg==::NQ==::TUVNX1RXUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVc=::MTQ=::TUVNX1RGQVc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkQ=::Mw==::TUVNX1RSUkQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFA=::Mw==::TUVNX1RSVFA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ==::NDUw::TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ==::MTAwMDAw::TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX09EVF9XSURUSA==::MQ==::TUVNX0lGX09EVF9XSURUSA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0xfSU5U::Ng==::TUVNX1dUQ0xfSU5U"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RkxZX0JZ::dHJ1ZQ==::RkxZX0JZ"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkRJTU0=::ZmFsc2U=::UkRJTU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFJESU1N::ZmFsc2U=::TFJESU1N"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkRJTU1fSU5U::MA==::UkRJTU1fSU5U"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFJESU1NX0lOVA==::MA==::TFJESU1NX0lOVA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0xSRElNTV9STQ==::MA==::TUVNX0lGX0xSRElNTV9STQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU::Mg==::TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU::Mw==::TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw==::MA==::Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw==::MA==::Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::Mg==::Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RZUEU=::RERSMw==::TUVNX1RZUEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw==::MA==::TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0xfSU5U::MA==::TUVNX0FUQ0xfSU5U"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::TUVNX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0xSRElNTV9FTkFCTEVE::ZmFsc2U=::TUVNX0xSRElNTV9FTkFCTEVE"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1ZFTkRPUg==::TWljcm9u::TWVtb3J5IHZlbmRvcg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkRJTU1fQ09ORklH::MA==::RERSMyBSRElNTS9MUkRJTU0gQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFJESU1NX0VYVEVOREVEX0NPTkZJRw==::MHgw::TFJESU1NIEFkZGl0aW9uYWwgQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RElTQ1JFVEVfRkxZX0JZ::dHJ1ZQ==::Rmx5LWJ5IHRvcG9sb2d5"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX0RFUFRI::MQ==::TnVtYmVyIG9mIGNoaXAgc2VsZWN0cw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5H::MA==::TWlycm9yIEFkZHJlc3Npbmc6IDEgcGVyIGNoaXAgc2VsZWN0"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX01BWA==::NjY2LjY2Nw==::TWVtb3J5IGRldmljZSBzcGVlZCBncmFkZQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JPV19BRERSX1dJRFRI::MTM=::Um93IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NPTF9BRERSX1dJRFRI::MTA=::Q29sdW1uIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1dJRFRI::MTY=::VG90YWwgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1BFUl9EUVM=::OA==::RFEvRFFTIGdyb3VwIHNpemU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JBTktBRERSX1dJRFRI::Mw==::QmFuay1hZGRyZXNzIHdpZHRo"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1BJTlNfRU4=::dHJ1ZQ==::RW5hYmxlIERNIHBpbnM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU05fRU4=::dHJ1ZQ==::RFFTIyBFbmFibGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9ESU1NUw==::MQ==::TnVtYmVyIG9mIHNsb3Rz"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfRElNTQ==::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBzbG90"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfREVWSUNF::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBkZXZpY2U="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JBTktfTVVMVElQTElDQVRJT05fRkFDVE9S::MQ==::KERlcHJlY2F0ZWQpIExSRElNTSBSYW5rIE11bHRpcGxpY2F0aW9uIEZhY3Rvcg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1dJRFRI::MQ==::TnVtYmVyIG9mIGNsb2Nrcw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NTX1dJRFRI::MQ==::TnVtYmVyIG9mIGNoaXAtc2VsZWN0cyBwZXIgZGV2aWNlL0RJTU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19FTl9XSURUSA==::MQ==::TnVtYmVyIG9mIGNsb2NrIGVuYWJsZXMgcGVyIGRldmljZS9ESU1N"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUxUTUVNUEhZX0NPTVBBVElCTEVfTU9ERQ==::ZmFsc2U=::QWxsb3cgdGhlIG1lbW9yeSBtb2RlbCB0byBiZSB1c2UgaW4gQUxUTUVNUEhZ"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TkVYVEdFTg==::dHJ1ZQ==::RW5hYmxlIDExLjAgZXh0cmEgY29udHJvbGxlciBmZWF0dXJlcw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JPQVJEX0JBU0VfREVMQVk=::MTA=::QmFzZSBib2FyZCBkZWxheSBmb3IgYm9hcmQgZGVsYXkgbW9kZWw="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c=::MA==::TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA==::ZmFsc2U=::TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1ZFUkJPU0U=::dHJ1ZQ==::RW5hYmxlIHZlcmJvc2UgbWVtb3J5IG1vZGVsIG91dHB1dA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UElOR1BPTkdQSFlfRU4=::ZmFsc2U=::RW5hYmxlIFBpbmcgUG9uZyBQSFk="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX0FD::ZmFsc2U=::RHVwbGljYXRlIEFkZHJlc3MgYW5kIENvbW1hbmQgU2lnbmFscw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9CVVJTVF9WQUxJREFUSU9O::ZmFsc2U=::RW5hYmxlIG1lbW9yeSBtb2RlbCByZWZyZXNoIGJ1cnN0IHZhbGlkYXRpb24="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVBfTU9ERV9FTg==::MA==::QVBfTU9ERV9FTg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVBfTU9ERQ==::ZmFsc2U=::QVBfTU9ERQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JM::T1RG::QnVyc3QgTGVuZ3Ro"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JU::U2VxdWVudGlhbA==::UmVhZCBCdXJzdCBUeXBl"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FTUg==::TWFudWFs::QXV0byBzZWxmcmVmcmVzaCBtZXRob2Q="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1NSVA==::Tm9ybWFs::U2VsZnJlZnJlc2ggdGVtcGVyYXR1cmU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1BE::RExMIG9mZg==::RExMIHByZWNoYXJnZSBwb3dlciBkb3du"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RSVl9TVFI=::UlpRLzY=::T3V0cHV0IGRyaXZlIHN0cmVuZ3RoIHNldHRpbmc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RMTF9FTg==::dHJ1ZQ==::RW5hYmxlIHRoZSBETEwgaW4gbWVtb3J5IGRldmljZXM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9OT00=::UlpRLzI=::T0RUIFJ0dCBub21pbmFsIHZhbHVl"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9XUg==::RHluYW1pYyBPRFQgb2Zm::RHluYW1pYyBPRFQgKFJ0dF9XUikgdmFsdWU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0w=::Ng==::TWVtb3J5IHdyaXRlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0w=::RGlzYWJsZWQ=::TWVtb3J5IGFkZGl0aXZlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RDTA==::Nw==::TWVtb3J5IENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fTEVWRUxJTkdfTU9ERQ==::dHJ1ZQ==::QXV0b2xldmVsaW5nIHNlbGVjdGlvbg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1VTRVJfTEVWRUxJTkdfTU9ERQ==::TGV2ZWxpbmc=::TGV2ZWxpbmcgaW50ZXJmYWNlIG1vZGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lOSVRfRU4=::ZmFsc2U=::RW5hYmxlcyBtZW1vcnkgY29udGVudCBpbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJUw==::MTkw::dElTIChiYXNlKQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJSA==::MTQw::dElIIChiYXNlKQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUw==::MzA=::dERTIChiYXNlKQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RESA==::NjU=::dERIIChiYXNlKQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNR::MTI1::dERRU1E="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSA==::MC4zOA==::dFFI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDSw==::MjU1::dERRU0NL"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RT::NDUw::dERRU0NLIERlbHRhIFNob3J0"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RN::OTAw::dERRU0NLIERlbHRhIE1lZGl1bQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RM::MTIwMA==::dERRU0NLIERlbHRhIExvbmc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNT::MC4yNQ==::dERRU1M="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRU0g=::MC40::dFFTSA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU0g=::MC4y::dERTSA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU1M=::MC4y::dERTUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX1VT::NTAw::dElOSVQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX0NL::MTUwMDAw::TUVNX1RJTklUX0NL"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1REUVNDSw==::MQ==::TUVNX1REUVNDSw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RNUkRfQ0s=::NA==::dE1SRA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVNfTlM=::MzYuMA==::dFJBUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0RfTlM=::MTMuNQ==::dFJDRA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUF9OUw==::MTMuNQ==::dFJQ"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJX1VT::Ny44::dFJFRkk="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkNfTlM=::MTEwLjA=::dFJGQw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0RfTlM=::Mi41::dENDRA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RXUl9OUw==::MTUuMA==::dFdS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RXVFI=::NQ==::dFdUUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVdfTlM=::NDUuMA==::dEZBVw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkRfTlM=::Ny41::dFJSRA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFBfTlM=::Ny41::dFJUUA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkFURQ==::RnVsbA==::UmF0ZSBvbiBBdmFsb24tTU0gaW50ZXJmYWNl"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVR::MzAwLjA=::TWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUQ==::ZmFsc2U=::VXNlIHNwZWNpZmllZCBmcmVxdWVuY3kgaW5zdGVhZCBvZiBjYWxjdWxhdGVkIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0RRU19UUkFDS0lORw==::ZmFsc2U=::VVNFX0RRU19UUkFDS0lORw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfRFFTX1RSQUNLSU5H::QVVUTw==::Rm9yY2UgRFFTIFRyYWNraW5nIEVuYWJsZWQvRGlzYWJsZWQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0hQU19EUVNfVFJBQ0tJTkc=::ZmFsc2U=::VVNFX0hQU19EUVNfVFJBQ0tJTkc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJLX1BBUkFMTEVMX1NDQ19MT0FE::ZmFsc2U=::VFJLX1BBUkFMTEVMX1NDQ19MT0FE"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1NIQURPV19SRUdT::ZmFsc2U=::VVNFX1NIQURPV19SRUdT"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0hBRE9XX1JFR1M=::QVVUTw==::U2hhZG93IFJlZ2lzdGVycw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFfRERS::MQ==::RFFfRERS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUREUl9DTURfRERS::MA==::QUREUl9DTURfRERS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1JBVEVfUkFUSU8=::MQ==::QUZJX1JBVEVfUkFUSU8="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "REFUQV9SQVRFX1JBVElP::Mg==::REFUQV9SQVRFX1JBVElP"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUREUl9SQVRFX1JBVElP::MQ==::QUREUl9SQVRFX1JBVElP"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0FERFJfV0lEVEg=::MTM=::QUZJX0FERFJfV0lEVEg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0JBTktBRERSX1dJRFRI::Mw==::QUZJX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NPTlRST0xfV0lEVEg=::MQ==::QUZJX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NTX1dJRFRI::MQ==::QUZJX0NTX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19FTl9XSURUSA==::MQ==::QUZJX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0RNX1dJRFRI::NA==::QUZJX0RNX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0RRX1dJRFRI::MzI=::QUZJX0RRX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX09EVF9XSURUSA==::MQ==::QUZJX09EVF9XSURUSA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1dSSVRFX0RRU19XSURUSA==::Mg==::QUZJX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1JMQVRfV0lEVEg=::Ng==::QUZJX1JMQVRfV0lEVEg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1dMQVRfV0lEVEg=::Ng==::QUZJX1dMQVRfV0lEVEg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1JSQU5LX1dJRFRI::Mg==::QUZJX1JSQU5LX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1dSQU5LX1dJRFRI::Mg==::QUZJX1dSQU5LX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19QQUlSX0NPVU5U::MQ==::QUZJX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw==::ZmFsc2U=::TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ==::Q1lDTE9ORVY=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJFX1ZfU0VSSUVTX0ZBTUlMWQ==::ZmFsc2U=::UFJFX1ZfU0VSSUVTX0ZBTUlMWQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA==::ZmFsc2U=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREU=::OA==::U3BlZWQgR3JhZGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNF::ZmFsc2U=::RW5naW5lZXJpbmcgU2FtcGxlIChFUykgRGV2aWNl"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RElTQUJMRV9DSElMRF9NRVNTQUdJTkc=::ZmFsc2U=::RElTQUJMRV9DSElMRF9NRVNTQUdJTkc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEFSRF9QSFk=::dHJ1ZQ==::SEFSRF9QSFk="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEFSRF9FTUlG::dHJ1ZQ==::RW5hYmxlIEhhcmQgRXh0ZXJuYWwgTWVtb3J5IEludGVyZmFjZQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQUw==::ZmFsc2U=::SEhQX0hQUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19WRVJJRklDQVRJT04=::ZmFsc2U=::SEhQX0hQU19WRVJJRklDQVRJT04="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19TSU1VTEFUSU9O::ZmFsc2U=::SEhQX0hQU19TSU1VTEFUSU9O"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SFBTX1BST1RPQ09M::REVGQVVMVA==::TWVtb3J5IFByb3RvY29s"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1VUX05FV19GQU1JTFlfVElNSU5H::dHJ1ZQ==::Q1VUX05FV19GQU1JTFlfVElNSU5H"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0NTUl9QT1JU::ZmFsc2U=::RVhQT1JUX0NTUl9QT1JU"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1NSX0FERFJfV0lEVEg=::MTA=::Q1NSX0FERFJfV0lEVEg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1NSX0RBVEFfV0lEVEg=::OA==::Q1NSX0RBVEFfV0lEVEg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1NSX0JFX1dJRFRI::MQ==::Q1NSX0JFX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0NTX1dJRFRI::MQ==::Q1RMX0NTX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0JFX1dJRFRI::NA==::QVZMX0JFX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX1NZTUJPTF9XSURUSA==::OA==::QVZMX1NZTUJPTF9XSURUSA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xT::NA==::QVZMX05VTV9TWU1CT0xT"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX1NJWkVfV0lEVEg=::Mw==::QVZMX1NJWkVfV0lEVEg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M=::ZmFsc2U=::SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19DU1JfRU5BQkxFRA==::ZmFsc2U=::Q1RMX0VDQ19DU1JfRU5BQkxFRA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFdJRFRIX1JBVElP::Mg==::RFdJRFRIX1JBVElP"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX09EVF9FTkFCTEVE::dHJ1ZQ==::Q1RMX09EVF9FTkFCTEVE"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX09VVFBVVF9SRUdE::ZmFsc2U=::Q1RMX09VVFBVVF9SRUdE"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19NVUxUSVBMRVNfNDBfNzI=::MQ==::Q1RMX0VDQ19NVUxUSVBMRVNfNDBfNzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19NVUxUSVBMRVNfMTZfMjRfNDBfNzI=::MQ==::Q1RMX0VDQ19NVUxUSVBMRVNfMTZfMjRfNDBfNzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::Q1RMX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TE9XX0xBVEVOQ1k=::ZmFsc2U=::TE9XX0xBVEVOQ1k="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09OVFJPTExFUl9UWVBF::bmV4dGdlbl92MTEw::Q09OVFJPTExFUl9UWVBF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1RCUF9OVU0=::NA==::Q1RMX1RCUF9OVU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1VTUl9SRUZSRVNI::MA==::Q1RMX1VTUl9SRUZSRVNI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1NFTEZfUkVGUkVTSA==::MA==::Q1RMX1NFTEZfUkVGUkVTSA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1RZUEU=::Mg==::Q0ZHX1RZUEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX0lOVEVSRkFDRV9XSURUSA==::MTY=::Q0ZHX0lOVEVSRkFDRV9XSURUSA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX0JVUlNUX0xFTkdUSA==::OA==::Q0ZHX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX0FERFJfT1JERVI=::MA==::Q0ZHX0FERFJfT1JERVI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1BETl9FWElUX0NZQ0xFUw==::MTA=::Q0ZHX1BETl9FWElUX0NZQ0xFUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPV0VSX1NBVklOR19FWElUX0NZQ0xFUw==::NQ==::Q0ZHX1BPV0VSX1NBVklOR19FWElUX0NZQ0xFUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX01FTV9DTEtfRU5UUllfQ1lDTEVT::MTA=::Q0ZHX01FTV9DTEtfRU5UUllfQ1lDTEVT"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1NFTEZfUkZTSF9FWElUX0NZQ0xFUw==::NTEy::Q0ZHX1NFTEZfUkZTSF9FWElUX0NZQ0xFUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPUlRfV0lEVEhfV1JJVEVfT0RUX0NISVA=::MQ==::Q0ZHX1BPUlRfV0lEVEhfV1JJVEVfT0RUX0NISVA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPUlRfV0lEVEhfUkVBRF9PRFRfQ0hJUA==::MQ==::Q0ZHX1BPUlRfV0lEVEhfUkVBRF9PRFRfQ0hJUA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1dSSVRFX09EVF9DSElQ::MQ==::Q0ZHX1dSSVRFX09EVF9DSElQ"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1JFQURfT0RUX0NISVA=::MA==::Q0ZHX1JFQURfT0RUX0NISVA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TE9DQUxfQ1NfV0lEVEg=::MA==::TE9DQUxfQ1NfV0lEVEg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX0NMUl9JTlRS::MA==::Q0ZHX0NMUl9JTlRS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX0VOQUJMRV9OT19ETQ==::MA==::Q0ZHX0VOQUJMRV9OT19ETQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FERF9MQVQ=::MA==::TUVNX0FERF9MQVQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFRfSU5U::ZmFsc2U=::Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFRfSU5U"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEVfSU5U::ZmFsc2U=::Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEVfSU5U"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX0VSUkNNRF9GSUZPX1JFRw==::MA==::Q0ZHX0VSUkNNRF9GSUZPX1JFRw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX0VDQ19ERUNPREVSX1JFRw==::MA==::Q0ZHX0VDQ19ERUNPREVSX1JFRw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9XREFUQV9QQVRIX0xBVEVOQ1k=::ZmFsc2U=::Q1RMX0VOQUJMRV9XREFUQV9QQVRIX0xBVEVOQ1k="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1NUQVJWRV9MSU1JVA==::MTA=::Q0ZHX1NUQVJWRV9MSU1JVA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fUERfQ1lDTEVT::MA==::TUVNX0FVVE9fUERfQ1lDTEVT"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX1BPUlQ=::UG9ydCAw::UG9ydA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8w::MzI=::QVZMX0RBVEFfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8w::MjU=::QVZMX0FERFJfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8w::MQ==::UFJJT1JJVFlfUE9SVF8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMA==::MA==::V0VJR0hUX1BPUlRfMA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzA=::Mw==::Q1BPUlRfVFlQRV9QT1JUXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMA==::NA==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMA==::MA==::TFNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMA==::MA==::TVNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMA==::MA==::TFNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMA==::MA==::TVNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8x::MQ==::QVZMX0RBVEFfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8x::MQ==::QVZMX0FERFJfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8x::MQ==::UFJJT1JJVFlfUE9SVF8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMQ==::MA==::V0VJR0hUX1BPUlRfMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzE=::MA==::Q1BPUlRfVFlQRV9QT1JUXzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMQ==::MQ==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMQ==::NQ==::TFNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMQ==::NQ==::TVNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMQ==::NQ==::TFNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMQ==::NQ==::TVNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8y::MQ==::QVZMX0RBVEFfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8y::MQ==::QVZMX0FERFJfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8y::MQ==::UFJJT1JJVFlfUE9SVF8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMg==::MA==::V0VJR0hUX1BPUlRfMg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzI=::MA==::Q1BPUlRfVFlQRV9QT1JUXzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMg==::MQ==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMg==::NQ==::TFNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMg==::NQ==::TVNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMg==::NQ==::TFNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMg==::NQ==::TVNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8z::MQ==::QVZMX0RBVEFfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8z::MQ==::QVZMX0FERFJfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8z::MQ==::UFJJT1JJVFlfUE9SVF8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMw==::MA==::V0VJR0hUX1BPUlRfMw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzM=::MA==::Q1BPUlRfVFlQRV9QT1JUXzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMw==::MQ==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMw==::NQ==::TFNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMw==::NQ==::TVNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMw==::NQ==::TFNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMw==::NQ==::TVNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF80::MQ==::QVZMX0RBVEFfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF80::MQ==::QVZMX0FERFJfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF80::MQ==::UFJJT1JJVFlfUE9SVF80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfNA==::MA==::V0VJR0hUX1BPUlRfNA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzQ=::MA==::Q1BPUlRfVFlQRV9QT1JUXzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfNA==::MQ==::QVZMX05VTV9TWU1CT0xTX1BPUlRfNA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfNA==::NQ==::TFNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfNA==::NQ==::TVNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfNA==::NQ==::TFNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfNA==::NQ==::TVNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF81::MQ==::QVZMX0RBVEFfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF81::MQ==::QVZMX0FERFJfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF81::MQ==::UFJJT1JJVFlfUE9SVF81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfNQ==::MA==::V0VJR0hUX1BPUlRfNQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzU=::MA==::Q1BPUlRfVFlQRV9QT1JUXzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfNQ==::MQ==::QVZMX05VTV9TWU1CT0xTX1BPUlRfNQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfNQ==::NQ==::TFNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfNQ==::NQ==::TVNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfNQ==::NQ==::TFNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfNQ==::NQ==::TVNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX0NPVU5URVJfT05FX1JFU0VU::RElTQUJMRUQ=::YXR0cl9jb3VudGVyX29uZV9yZXNldA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX0NPVU5URVJfWkVST19SRVNFVA==::RElTQUJMRUQ=::YXR0cl9jb3VudGVyX3plcm9fcmVzZXQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX1NUQVRJQ19DT05GSUdfVkFMSUQ=::RElTQUJMRUQ=::YXR0cl9zdGF0aWNfY29uZmlnX3ZhbGlk"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMg==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMw==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfNA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfNQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DQUxfUkVR::RElTQUJMRUQ=::Y2FsX3JlcQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfQlVSU1RfTEVOR1RI::QkxfOA==::Y2ZnX2J1cnN0X2xlbmd0aA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfSU5URVJGQUNFX1dJRFRI::RFdJRFRIXzE2::Y2ZnX2ludGVyZmFjZV93aWR0aA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfU0VMRl9SRlNIX0VYSVRfQ1lDTEVT::U0VMRl9SRlNIX0VYSVRfQ1lDTEVTXzUxMg==::Y2ZnX3NlbGZfcmZzaF9leGl0X2N5Y2xlcw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfU1RBUlZFX0xJTUlU::U1RBUlZFX0xJTUlUXzQ=::Y2ZnX3N0YXJ2ZV9saW1pdA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfVFlQRQ==::RERSMw==::Y2ZnX3R5cGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMA==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMQ==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMg==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMw==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfNA==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfNQ==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTFJfSU5UUg==::Tk9fQ0xSX0lOVFI=::Y2xyX2ludHI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMA==::VFJVRQ==::Y21kX3BvcnRfaW5fdXNlXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMg==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMw==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfNA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfNQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQwX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3JmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfVFlQRQ==::QklfRElSRUNUSU9O::Y3BvcnQwX3R5cGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3dmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQxX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3JmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQxX3R5cGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3dmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQyX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3JmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQyX3R5cGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3dmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQzX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3JmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQzX3R5cGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3dmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQ0X3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3JmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ0X3R5cGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3dmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQ1X3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3JmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ1X3R5cGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3dmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfQUREUl9PUkRFUg==::Q0hJUF9ST1dfQkFOS19DT0w=::Y3RsX2FkZHJfb3JkZXI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfRUNDX0VOQUJMRUQ=::Q1RMX0VDQ19ESVNBQkxFRA==::Y3RsX2VjY19lbmFibGVk"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfRUNDX1JNV19FTkFCTEVE::Q1RMX0VDQ19STVdfRElTQUJMRUQ=::Y3RsX2VjY19ybXdfZW5hYmxlZA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfUkVHRElNTV9FTkFCTEVE::UkVHRElNTV9ESVNBQkxFRA==::Y3RsX3JlZ2RpbW1fZW5hYmxlZA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfVVNSX1JFRlJFU0g=::Q1RMX1VTUl9SRUZSRVNIX0RJU0FCTEVE::Y3RsX3Vzcl9yZWZyZXNo"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DVFJMX1dJRFRI::REFUQV9XSURUSF8zMl9CSVQ=::Y3RybF93aWR0aA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9ERUxBWV9CT05ESU5H::Qk9ORElOR19MQVRFTkNZXzA=::ZGVsYXlfYm9uZGluZw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9ERlhfQllQQVNTX0VOQUJMRQ==::REZYX0JZUEFTU19ESVNBQkxFRA==::ZGZ4X2J5cGFzc19lbmFibGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9ESVNBQkxFX01FUkdJTkc=::TUVSR0lOR19FTkFCTEVE::ZGlzYWJsZV9tZXJnaW5n"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FQ0NfRFFfV0lEVEg=::RUNDX0RRX1dJRFRIXzA=::ZWNjX2RxX3dpZHRo"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQVRQRw==::RElTQUJMRUQ=::ZW5hYmxlX2F0cGc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18w::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18x::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18y::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18z::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR180::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR181::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR19XUkFQQkFDSw==::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfd3JhcGJhY2s="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRFFTX1RSQUNLSU5H::RElTQUJMRUQ=::ZW5hYmxlX2Rxc190cmFja2luZw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRUNDX0NPREVfT1ZFUldSSVRFUw==::RElTQUJMRUQ=::ZW5hYmxlX2VjY19jb2RlX292ZXJ3cml0ZXM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRkFTVF9FWElUX1BQRA==::RElTQUJMRUQ=::ZW5hYmxlX2Zhc3RfZXhpdF9wcGQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfSU5UUg==::RElTQUJMRUQ=::ZW5hYmxlX2ludHI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfTk9fRE0=::RElTQUJMRUQ=::ZW5hYmxlX25vX2Rt"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfUElQRUxJTkVHTE9CQUw=::RElTQUJMRUQ=::ZW5hYmxlX3BpcGVsaW5lZ2xvYmFs"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9HQU5HRURfQVJG::RElTQUJMRUQ=::Z2FuZ2VkX2FyZg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9HRU5fREJF::R0VOX0RCRV9ESVNBQkxFRA==::Z2VuX2RiZQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9HRU5fU0JF::R0VOX1NCRV9ESVNBQkxFRA==::Z2VuX3NiZQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9JTkNfU1lOQw==::RklGT19TRVRfMg==::aW5jX3N5bmM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9MT0NBTF9JRl9DU19XSURUSA==::QUREUl9XSURUSF8w::bG9jYWxfaWZfY3Nfd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX0NPUlJfRFJPUFBFRF9JTlRS::RElTQUJMRUQ=::bWFza19jb3JyX2Ryb3BwZWRfaW50cg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX0RCRV9JTlRS::RElTQUJMRUQ=::bWFza19kYmVfaW50cg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX1NCRV9JTlRS::RElTQUJMRUQ=::bWFza19zYmVfaW50cg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQUw=::QUxfMA==::bWVtX2lmX2Fs"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQkFOS0FERFJfV0lEVEg=::QUREUl9XSURUSF8z::bWVtX2lmX2JhbmthZGRyX3dpZHRo"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQlVSU1RMRU5HVEg=::TUVNX0lGX0JVUlNUTEVOR1RIXzg=::bWVtX2lmX2J1cnN0bGVuZ3Ro"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ09MQUREUl9XSURUSA==::QUREUl9XSURUSF8xMA==::bWVtX2lmX2NvbGFkZHJfd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ1NfUEVSX1JBTks=::TUVNX0lGX0NTX1BFUl9SQU5LXzE=::bWVtX2lmX2NzX3Blcl9yYW5r"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ1NfV0lEVEg=::TUVNX0lGX0NTX1dJRFRIXzE=::bWVtX2lmX2NzX3dpZHRo"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFFfUEVSX0NISVA=::TUVNX0lGX0RRX1BFUl9DSElQXzg=::bWVtX2lmX2RxX3Blcl9jaGlw"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFFTX1dJRFRI::RFFTX1dJRFRIXzI=::bWVtX2lmX2Rxc193aWR0aA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFdJRFRI::TUVNX0lGX0RXSURUSF8xNg==::bWVtX2lmX2R3aWR0aA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfTUVNVFlQRQ==::RERSM19TRFJBTQ==::bWVtX2lmX21lbXR5cGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfUk9XQUREUl9XSURUSA==::QUREUl9XSURUSF8xMw==::bWVtX2lmX3Jvd2FkZHJfd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfU1BFRURCSU4=::RERSM18xNjAwXzhfOF84::bWVtX2lmX3NwZWVkYmlu"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENDRA==::VENDRF80::bWVtX2lmX3RjY2Q="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENM::VENMXzc=::bWVtX2lmX3RjbA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENXTA==::VENXTF82::bWVtX2lmX3Rjd2w="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVEZBVw==::VEZBV18xNA==::bWVtX2lmX3RmYXc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVE1SRA==::VE1SRF80::bWVtX2lmX3RtcmQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJBUw==::VFJBU18xMQ==::bWVtX2lmX3RyYXM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJD::VFJDXzE1::bWVtX2lmX3RyYw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJDRA==::VFJDRF81::bWVtX2lmX3RyY2Q="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJQ::VFJQXzU=::bWVtX2lmX3RycA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJSRA==::VFJSRF8z::bWVtX2lmX3RycmQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJUUA==::VFJUUF8z::bWVtX2lmX3RydHA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFdS::VFdSXzU=::bWVtX2lmX3R3cg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFdUUg==::VFdUUl81::bWVtX2lmX3R3dHI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NTVJfQ0ZHX01FTV9CTA==::TVBfQkxfOA==::bW1yX2NmZ19tZW1fYmw="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9PVVRQVVRfUkVHRA==::RElTQUJMRUQ=::b3V0cHV0X3JlZ2Q="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QRE5fRVhJVF9DWUNMRVM=::U0xPV19FWElU::cGRuX2V4aXRfY3ljbGVz"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMF9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDBfd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMV9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDFfd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMl9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDJfd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUM19XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDNfd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUNF9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDRfd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUNV9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDVfd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMF80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMF81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMV80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMV81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMl80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMl81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfM18w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfM18x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfM18y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfM18z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfM180"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfM181"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNF80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNF81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNV80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNV81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNl80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNl81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfN18w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfN18x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfN18y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfN18z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfN180"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfN181"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMg==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMw==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMA==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMQ==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMg==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMw==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNA==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNQ==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMA==::RFdJRFRIXzMy::cmRfZHdpZHRoXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMg==::RFdJRFRIXzA=::cmRfZHdpZHRoXzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMw==::RFdJRFRIXzA=::cmRfZHdpZHRoXzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfNA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfNQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8w::VFJVRQ==::cmRfZmlmb19pbl91c2VfMA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8x::RkFMU0U=::cmRfZmlmb19pbl91c2VfMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8y::RkFMU0U=::cmRfZmlmb19pbl91c2VfMg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8z::RkFMU0U=::cmRfZmlmb19pbl91c2VfMw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMA==::VVNFXzA=::cmRfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMg==::VVNFX05P::cmRfcG9ydF9pbmZvXzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMw==::VVNFX05P::cmRfcG9ydF9pbmZvXzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fNA==::VVNFX05P::cmRfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fNQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRUFEX09EVF9DSElQ::T0RUX0RJU0FCTEVE::cmVhZF9vZHRfY2hpcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRU9SREVSX0RBVEE=::Tk9fREFUQV9SRU9SREVSSU5H::cmVvcmRlcl9kYXRh"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMA==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMQ==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMg==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMw==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzA=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzE=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzI=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzM=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzQ=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzU=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMA==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMQ==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMg==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMw==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfNA==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfNQ==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9URVNUX01PREU=::Tk9STUFMX01PREU=::dGVzdF9tb2Rl"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMA==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMQ==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMg==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMw==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfNA==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfNQ==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMA==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMQ==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMg==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMw==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfNA==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfNQ==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzA=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzE=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzI=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzM=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX0VDQ19FTg==::RElTQUJMRQ==::dXNlcl9lY2NfZW4="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzA=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzE=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzI=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzM=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzQ=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzU=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzBfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8wX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzFfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8xX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzJfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8yX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzNfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8zX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMA==::RFdJRFRIXzMy::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMg==::RFdJRFRIXzA=::d3JfZHdpZHRoXzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMw==::RFdJRFRIXzA=::d3JfZHdpZHRoXzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfNA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfNQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8w::VFJVRQ==::d3JfZmlmb19pbl91c2VfMA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8x::RkFMU0U=::d3JfZmlmb19pbl91c2VfMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8y::RkFMU0U=::d3JfZmlmb19pbl91c2VfMg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8z::RkFMU0U=::d3JfZmlmb19pbl91c2VfMw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMA==::VVNFXzA=::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMg==::VVNFX05P::d3JfcG9ydF9pbmZvXzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMw==::VVNFX05P::d3JfcG9ydF9pbmZvXzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fNA==::VVNFX05P::d3JfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fNQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUklURV9PRFRfQ0hJUA==::V1JJVEVfQ0hJUDBfT0RUMF9DSElQMQ==::d3JpdGVfb2R0X2NoaXA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fQVVUT19QRF9DWUNMRVM=::MA==::bWVtX2F1dG9fcGRfY3ljbGVz"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMA==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMQ==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMg==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMw==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfNA==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfNQ==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19BQ1Q=::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fYWN0"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19BQ1RfRElGRl9CQU5L::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fYWN0X2RpZmZfYmFuaw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19QQ0g=::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fcGNo"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19SRFdS::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fcmR3cg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FSRl9QRVJJT0Q=::MA==::ZXh0cmFfY3RsX2Nsa19hcmZfcGVyaW9k"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FSRl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19hcmZfdG9fdmFsaWQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0ZPVVJfQUNUX1RPX0FDVA==::MA==::ZXh0cmFfY3RsX2Nsa19mb3VyX2FjdF90b19hY3Q="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BDSF9BTExfVE9fVkFMSUQ=::MA==::ZXh0cmFfY3RsX2Nsa19wY2hfYWxsX3RvX3ZhbGlk"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BDSF9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19wY2hfdG9fdmFsaWQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BETl9QRVJJT0Q=::MA==::ZXh0cmFfY3RsX2Nsa19wZG5fcGVyaW9k"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BETl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19wZG5fdG9fdmFsaWQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX0FQX1RPX1ZBTElE::MA==::ZXh0cmFfY3RsX2Nsa19yZF9hcF90b192YWxpZA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1BDSA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19wY2g="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1JE::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19yZA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1JEX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19yZF9kaWZmX2NoaXA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dS::Mg==::ZXh0cmFfY3RsX2Nsa19yZF90b193cg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dSX0JD::Mg==::ZXh0cmFfY3RsX2Nsa19yZF90b193cl9iYw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dSX0RJRkZfQ0hJUA==::Mg==::ZXh0cmFfY3RsX2Nsa19yZF90b193cl9kaWZmX2NoaXA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1NSRl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19zcmZfdG9fdmFsaWQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1NSRl9UT19aUV9DQUw=::MA==::ZXh0cmFfY3RsX2Nsa19zcmZfdG9fenFfY2Fs"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX0FQX1RPX1ZBTElE::MA==::ZXh0cmFfY3RsX2Nsa193cl9hcF90b192YWxpZA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1BDSA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19wY2g="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JE::Mw==::ZXh0cmFfY3RsX2Nsa193cl90b19yZA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JEX0JD::Mw==::ZXh0cmFfY3RsX2Nsa193cl90b19yZF9iYw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JEX0RJRkZfQ0hJUA==::Mw==::ZXh0cmFfY3RsX2Nsa193cl90b19yZF9kaWZmX2NoaXA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1dS::MA==::ZXh0cmFfY3RsX2Nsa193cl90b193cg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1dSX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b193cl9kaWZmX2NoaXA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fSUZfVFJFRkk=::MjM0MQ==::bWVtX2lmX3RyZWZp"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fSUZfVFJGQw==::MzQ=::bWVtX2lmX3RyZmM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8w::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8x::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8y::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8z::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV80::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV81::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV82::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV83::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMA==::MA==::c3VtX3d0X3ByaW9yaXR5XzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMg==::MA==::c3VtX3d0X3ByaW9yaXR5XzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMw==::MA==::c3VtX3d0X3ByaW9yaXR5XzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNA==::MA==::c3VtX3d0X3ByaW9yaXR5XzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNg==::MA==::c3VtX3d0X3ByaW9yaXR5XzY="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNw==::MA==::c3VtX3d0X3ByaW9yaXR5Xzc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfT05FX01BU0s=::MA==::YXR0cl9jb3VudGVyX29uZV9tYXNr"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfT05FX01BVENI::MA==::YXR0cl9jb3VudGVyX29uZV9tYXRjaA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfWkVST19NQVNL::MA==::YXR0cl9jb3VudGVyX3plcm9fbWFzaw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfWkVST19NQVRDSA==::MA==::YXR0cl9jb3VudGVyX3plcm9fbWF0Y2g="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0RFQlVHX1NFTEVDVF9CWVRF::MA==::YXR0cl9kZWJ1Z19zZWxlY3RfYnl0ZQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19QT1dFUl9TQVZJTkdfRVhJVF9DWUNMRVM=::NQ==::cG93ZXJfc2F2aW5nX2V4aXRfY3ljbGVz"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fQ0xLX0VOVFJZX0NZQ0xFUw==::MTA=::bWVtX2Nsa19lbnRyeV9jeWNsZXM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQlVSU1RfSU5URVJSVVBU::RElTQUJMRUQ=::ZW5hYmxlX2J1cnN0X2ludGVycnVwdA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQlVSU1RfVEVSTUlOQVRF::RElTQUJMRUQ=::ZW5hYmxlX2J1cnN0X3Rlcm1pbmF0ZQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8wX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::MA==::YXZfcG9ydF8wX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8wX0NPTk5FQ1RfVE9fQVZfUE9SVA==::MA==::Y3ZfcG9ydF8wX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8w::MzI=::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8w::MjU=::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzA=::Mw==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMA==::NA==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMA==::MA==::Q1ZfTFNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMA==::MA==::Q1ZfTVNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMA==::MA==::Q1ZfTFNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMA==::MA==::Q1ZfTVNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMA==::VFJVRQ==::Y21kX3BvcnRfaW5fdXNlXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3JmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfVFlQRQ==::QklfRElSRUNUSU9O::Y3BvcnQwX3R5cGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3dmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18w::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMF9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDBfd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfM18w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfN18w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMA==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMA==::RFdJRFRIXzMy::cmRfZHdpZHRoXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMA==::VVNFXzA=::cmRfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzA=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzA=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8w"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMA==::RFdJRFRIXzMy::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMA==::VVNFXzA=::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzA=::Mw==::VEdfVEVNUF9QT1JUXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8xX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::MQ==::YXZfcG9ydF8xX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8xX0NPTk5FQ1RfVE9fQVZfUE9SVA==::MQ==::Y3ZfcG9ydF8xX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8x::MQ==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8x::MQ==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzE=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMQ==::MQ==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3JmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQxX3R5cGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3dmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18x::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMV9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDFfd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfM18x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfN18x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMQ==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzE=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzE=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8x"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzE=::MA==::VEdfVEVNUF9QT1JUXzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8yX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::Mg==::YXZfcG9ydF8yX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8yX0NPTk5FQ1RfVE9fQVZfUE9SVA==::Mg==::Y3ZfcG9ydF8yX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8y::MQ==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8y::MQ==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzI=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMg==::MQ==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMg==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMg==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMg==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMg==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMg==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMg==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3JmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQyX3R5cGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3dmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18y::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMl9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDJfd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfM18y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfN18y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMg==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMg==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMg==::RFdJRFRIXzA=::cmRfZHdpZHRoXzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMg==::VVNFX05P::cmRfcG9ydF9pbmZvXzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzI=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzI=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMg==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMg==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzI=::MA==::VEdfVEVNUF9QT1JUXzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8zX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::Mw==::YXZfcG9ydF8zX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8zX0NPTk5FQ1RfVE9fQVZfUE9SVA==::Mw==::Y3ZfcG9ydF8zX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8z::MQ==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8z::MQ==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzM=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMw==::MQ==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMw==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMw==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMw==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMw==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMw==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMw==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3JmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQzX3R5cGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3dmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18z::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUM19XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDNfd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfM18z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfN18z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMw==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMw==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMw==::RFdJRFRIXzA=::cmRfZHdpZHRoXzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMw==::VVNFX05P::cmRfcG9ydF9pbmZvXzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzM=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzM=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8z"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMw==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMw==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzM=::MA==::VEdfVEVNUF9QT1JUXzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF80X0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::NA==::YXZfcG9ydF80X2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF80X0NPTk5FQ1RfVE9fQVZfUE9SVA==::NA==::Y3ZfcG9ydF80X2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF80::MQ==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF80::MQ==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzQ=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNA==::MQ==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfNA==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfNA==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfNA==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfNA==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfNA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfNA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3JmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ0X3R5cGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3dmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR180::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUNF9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDRfd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMF80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMV80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMl80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfM180"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNF80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNV80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNl80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfN180"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNA==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfNA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fNA==::VVNFX05P::cmRfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzQ=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzQ=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV80"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfNA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fNA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzQ=::MA==::VEdfVEVNUF9QT1JUXzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF81X0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::NQ==::YXZfcG9ydF81X2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF81X0NPTk5FQ1RfVE9fQVZfUE9SVA==::NQ==::Y3ZfcG9ydF81X2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF81::MQ==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF81::MQ==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzU=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNQ==::MQ==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfNQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfNQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3JmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ1X3R5cGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3dmaWZvX21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR181::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUNV9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDVfd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMF81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMV81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMl81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfM181"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNF81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNV81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNl81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfN181"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNQ==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfNQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fNQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzU=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzU=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV81"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfNQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fNQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzU=::MA==::VEdfVEVNUF9QT1JUXzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8w::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMA==::MA==::c3VtX3d0X3ByaW9yaXR5XzA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8x::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8y::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMg==::MA==::c3VtX3d0X3ByaW9yaXR5XzI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8z::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMw==::MA==::c3VtX3d0X3ByaW9yaXR5XzM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV80::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNA==::MA==::c3VtX3d0X3ByaW9yaXR5XzQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV81::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV82::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNg==::MA==::c3VtX3d0X3ByaW9yaXR5XzY="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV83::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNw==::MA==::c3VtX3d0X3ByaW9yaXR5Xzc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09OVElOVUVfQUZURVJfQ0FMX0ZBSUw=::ZmFsc2U=::Q09OVElOVUVfQUZURVJfQ0FMX0ZBSUw="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYMTBfQ0ZH::ZmFsc2U=::TUFYMTBfQ0ZH"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UE9XRVJfT0ZfVFdPX0JVUw==::ZmFsc2U=::R2VuZXJhdGUgcG93ZXItb2YtMiBkYXRhIGJ1cyB3aWR0aHMgZm9yIFFzeXMgb3IgU09QQyBCdWlsZGVy"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "U09QQ19DT01QQVRfUkVTRVQ=::ZmFsc2U=::R2VuZXJhdGUgU09QQyBCdWlsZGVyIGNvbXBhdGlibGUgcmVzZXRz"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX01BWF9TSVpF::NA==::TWF4aW11bSBBdmFsb24tTU0gYnVyc3QgbGVuZ3Ro"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QllURV9FTkFCTEU=::dHJ1ZQ==::RW5hYmxlIEF2YWxvbi1NTSBieXRlLWVuYWJsZSBzaWduYWw="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NUUkxfQVZBTE9OX0lOVEVSRkFDRQ==::dHJ1ZQ==::RW5hYmxlIEF2YWxvbiBpbnRlcmZhY2U="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0RFRVBfUE9XRVJETl9FTg==::ZmFsc2U=::RW5hYmxlIERlZXAgUG93ZXItRG93biBDb250cm9scw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1NFTEZfUkVGUkVTSF9FTg==::ZmFsc2U=::RW5hYmxlIFNlbGYtUmVmcmVzaCBDb250cm9scw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19QT1dFUkROX0VO::ZmFsc2U=::RW5hYmxlIEF1dG8gUG93ZXItRG93bg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1VTUl9SRUZSRVNIX0VO::ZmFsc2U=::RW5hYmxlIFVzZXIgQXV0by1SZWZyZXNoIENvbnRyb2xz"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0FVVE9QQ0hfRU4=::ZmFsc2U=::RW5hYmxlIEF1dG8tUHJlY2hhcmdlIENvbnRyb2w="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1pRQ0FMX0VO::ZmFsc2U=::RW5hYmxlIFpRIENhbGlicmF0aW9uIENvbnRyb2w="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUREUl9PUkRFUg==::MA==::TG9jYWwtdG8tTWVtb3J5IEFkZHJlc3MgTWFwcGluZw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09OVFJPTExFUl9MQVRFTkNZ::NQ==::UmVkdWNlIENvbnRyb2xsZXIgTGF0ZW5jeSBCeQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1JFT1JERVJfREFUQQ==::ZmFsc2U=::RW5hYmxlIFJlb3JkZXJpbmc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9FTkFCTEVE::dHJ1ZQ==::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9DT05ORUNUSU9O::SU5URVJOQUxfSlRBRw==::Q1NSIHBvcnQgaG9zdCBpbnRlcmZhY2U="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19FTkFCTEVE::ZmFsc2U=::RW5hYmxlIEVycm9yIERldGVjdGlvbiBhbmQgQ29ycmVjdGlvbiBMb2dpYw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVVMVElDQVNUX0VO::ZmFsc2U=::RW5hYmxlIE11bHRpLWNhc3QgV3JpdGUgQ29udHJvbA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0RZTkFNSUNfQkFOS19BTExPQ0FUSU9O::ZmFsc2U=::RW5hYmxlIHJlZHVjZWQgYmFuayB0cmFja2luZyBmb3IgYXJlYSBvcHRpbWl6YXRpb24="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVCVUdfTU9ERQ==::ZmFsc2U=::RW5hYmxlIGludGVybmFsIGRlYnVnIHBhcmFtZXRlcg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JVUlNUX01FUkdF::ZmFsc2U=::RW5hYmxlIGJ1cnN0IG1lcmdpbmc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFQ=::ZmFsc2U=::RW5hYmxlIGJ1cnN0IGludGVycnVwdA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEU=::ZmFsc2U=::RW5hYmxlIGJ1cnN0IHRlcm1pbmF0ZQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TE9DQUxfSURfV0lEVEg=::OA==::TG9jYWwgSUQgd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkRCVUZGRVJfQUREUl9XSURUSA==::OA==::UmVhZCBidWZmZXIgYWRkcmVzcyB3aWR0aA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "V1JCVUZGRVJfQUREUl9XSURUSA==::Ng==::V3JpdGUgYnVmZmVyIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfV1JfQ01E::MTY=::TWF4IHBlbmRpbmcgd3JpdGUgY29tbWFuZHM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfUkRfQ01E::MzI=::TWF4IHBlbmRpbmcgcmVhZCBjb21tYW5kcw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX01NX0FEQVBUT1I=::dHJ1ZQ==::VXNlIEF2YWxvbiBNTSBBZGFwdG9y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0FYSV9BREFQVE9S::ZmFsc2U=::VXNlIEFYSSBBZGFwdG9y"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0NNRF9RVUVVRV9ERVBUSA==::OA==::Q1RMX0NNRF9RVUVVRV9ERVBUSA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9SRUFEX09OTFk=::MQ==::Q1RMX0NTUl9SRUFEX09OTFk="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX09GX1BPUlRT::MQ==::TnVtYmVyIG9mIHBvcnRz"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JPTkRJTkc=::ZmFsc2U=::RXhwb3J0IGJvbmRpbmcgaW50ZXJmYWNl"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1VTRVJfRUND::ZmFsc2U=::RXhwYW5kIEF2YWxvbi1NTSBkYXRhIGZvciBFQ0M="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVA==::MzIsMzIsMzIsMzIsMzIsMzI=::V2lkdGg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVA==::MSwxLDEsMSwxLDE=::UHJpb3JpdHk="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlQ=::MCwwLDAsMCwwLDA=::V2VpZ2h0"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JU::QmlkaXJlY3Rpb25hbCxCaWRpcmVjdGlvbmFsLEJpZGlyZWN0aW9uYWwsQmlkaXJlY3Rpb25hbCxCaWRpcmVjdGlvbmFsLEJpZGlyZWN0aW9uYWw=::VHlwZQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw==::ZmFsc2U=::Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0RSX0NMSw==::ZmFsc2U=::VVNFX0RSX0NMSw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX1VTRV9EUl9DTEs=::ZmFsc2U=::RExMX1VTRV9EUl9DTEs="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFXzJYX0ZG::ZmFsc2U=::VVNFXzJYX0ZG"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFVBTF9XUklURV9DTE9DSw==::ZmFsc2U=::RFVBTF9XUklURV9DTE9DSw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "R0VORVJJQ19QTEw=::dHJ1ZQ==::R0VORVJJQ19QTEw="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0hBUkRfUkVBRF9GSUZP::ZmFsc2U=::VVNFX0hBUkRfUkVBRF9GSUZP"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX0hBTEZfUkFURQ==::ZmFsc2U=::UkVBRF9GSUZPX0hBTEZfUkFURQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01BU1RFUg==::dHJ1ZQ==::UExMX01BU1RFUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX01BU1RFUg==::dHJ1ZQ==::RExMX01BU1RFUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEhZX1ZFUlNJT05fTlVNQkVS::MTUx::UEhZX1ZFUlNJT05fTlVNQkVS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfT0NJ::ZmFsc2U=::RU5BQkxFX05JT1NfT0NJ"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfSlRBR19NQVNURVI=::dHJ1ZQ==::RU5BQkxFX0VNSVRfSlRBR19NQVNURVI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfSlRBR19VQVJU::ZmFsc2U=::RU5BQkxFX05JT1NfSlRBR19VQVJU"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA==::ZmFsc2U=::RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI=::ZmFsc2U=::RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfQkZNX01BU1RFUg==::ZmFsc2U=::RU5BQkxFX0VNSVRfQkZNX01BU1RFUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF::ZmFsc2U=::Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA==::ZmFsc2U=::RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0=::ZmFsc2U=::RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU=::ZmFsc2U=::TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc=::ZmFsc2U=::REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ==::dHJ1ZQ==::RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw==::dHJ1ZQ==::RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NQ==::TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVBRF9WQUxJRF9GSUZPX1NJWkU=::MTY=::UkVBRF9WQUxJRF9GSUZPX1NJWkU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RVhUUkFfVkZJRk9fU0hJRlQ=::MA==::RVhUUkFfVkZJRk9fU0hJRlQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0NMS19GUkVR::MzAwLjA=::VEJfTUVNX0NMS19GUkVR"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfUkFURQ==::RlVMTA==::VEJfUkFURQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX0RRX1dJRFRI::MTY=::VEJfTUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI::Mg==::VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfUExMX0RMTF9NQVNURVI=::dHJ1ZQ==::VEJfUExMX0RMTF9NQVNURVI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RkFTVF9TSU1fQ0FMSUJSQVRJT04=::ZmFsc2U=::RkFTVF9TSU1fQ0FMSUJSQVRJT04="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVR::NTAuMA==::UExMIHJlZmVyZW5jZSBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1NUUg==::NTAuMCBNSHo=::UkVGX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19OUw==::MjAuMA==::UkVGX0NMS19OUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19QUw==::MjAwMDAuMA==::UkVGX0NMS19QUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVR::MC4w::UExMX0RSX0NMS19GUkVR"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX0RSX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QUw==::MA==::UExMX0RSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0RSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxU::MA==::UExMX0RSX0NMS19NVUxU"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVY=::MA==::UExMX0RSX0NMS19ESVY="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUQ==::MzAwLjA=::QWNoaWV2ZWQgbWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TVFI=::MzAwLjAgTUh6::UExMX01FTV9DTEtfRlJFUV9TVFI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RS::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFM=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVH::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVA==::NjAwMDAwMA==::UExMX01FTV9DTEtfTVVMVA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElW::MTAwMDAwMA==::UExMX01FTV9DTEtfRElW"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUQ==::MzAwLjA=::QWNoaWV2ZWQgbG9jYWwgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TVFI=::MzAwLjAgTUh6::UExMX0FGSV9DTEtfRlJFUV9TVFI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RS::MzMzNCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFM=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVH::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVA==::NjAwMDAwMA==::UExMX0FGSV9DTEtfTVVMVA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElW::MTAwMDAwMA==::UExMX0FGSV9DTEtfRElW"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVR::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVR"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX1dSSVRFX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QUw==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TVFI=::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUc=::MjcwLjA=::UExMX1dSSVRFX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0=::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RS::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN::MjcwLjA=::UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxU::NjAwMDAwMA==::UExMX1dSSVRFX0NMS19NVUxU"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVY=::MTAwMDAwMA==::UExMX1dSSVRFX0NMS19ESVY="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVR::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVR"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX0FERFJfQ01EX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw==::MjUwMA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TVFI=::MjUwMCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc=::MjcwLjA=::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0=::MjUwMA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RS::MjUwMCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN::MjcwLjA=::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxU::NjAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19NVUxU"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVY=::MTAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19ESVY="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVR::MTUwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVR"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NUUg==::MTUwLjAgTUh6::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFI=::NjY2OCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TVFI=::MCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RS::MCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxU::NjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19NVUxU"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVY=::MjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19ESVY="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVE=::NjAuMA==::UExMX05JT1NfQ0xLX0ZSRVE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU1RS::NjAuMCBNSHo=::UExMX05JT1NfQ0xLX0ZSRVFfU1RS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUg==::MTY2NzAgcHM=::UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BT::NDE2::UExMX05JT1NfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NUUg==::NDE2IHBz::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NUUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFRw==::OS4w::UExMX05JT1NfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ==::NDYz::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFI=::NDYzIHBz::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0=::MTAuMA==::UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFQ=::NjAwMDAwMA==::UExMX05JT1NfQ0xLX01VTFQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVg==::NTAwMDAwMA==::UExMX05JT1NfQ0xLX0RJVg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUQ==::MjAuMA==::UExMX0NPTkZJR19DTEtfRlJFUQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TVFI=::MjAuMCBNSHo=::UExMX0NPTkZJR19DTEtfRlJFUV9TVFI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RS::NTAwMTAgcHM=::UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFM=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVH::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVA==::NjAwMDAwMA==::UExMX0NPTkZJR19DTEtfTVVMVA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElW::MTUwMDAwMDA=::UExMX0NPTkZJR19DTEtfRElW"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVR::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVR"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX1AyQ19SRUFEX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc=::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxU::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxU"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVY=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVY="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9TSU1fU1RS::MCBwcw==::UExMX0MyUF9XUklURV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVA==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElW::MA==::UExMX0MyUF9XUklURV9DTEtfRElW"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVR::MC4w::UExMX0hSX0NMS19GUkVR"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX0hSX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QUw==::MA==::UExMX0hSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0hSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxU::MA==::UExMX0hSX0NMS19NVUxU"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVY=::MA==::UExMX0hSX0NMS19ESVY="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVE=::MzAwLjA=::UExMX0FGSV9QSFlfQ0xLX0ZSRVE="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU1RS::MzAwLjAgTUh6::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU1RS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUg==::MzMzNCBwcw==::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NUUg==::MCBwcw==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NUUg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFI=::MCBwcw==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFQ=::NjAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX01VTFQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVg==::MTAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX0RJVg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE::dHJ1ZQ==::UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE::ZmFsc2U=::UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9QQVJBTQ==::MC4w::UkVGX0NMS19GUkVRX01JTl9QQVJBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9QQVJBTQ==::MC4w::UkVGX0NMS19GUkVRX01BWF9QQVJBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9DQUNIRQ==::MTAuMA==::UkVGX0NMS19GUkVRX01JTl9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9DQUNIRQ==::NTAwLjA=::UkVGX0NMS19GUkVRX01BWF9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0RSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0RSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0RSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0RSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0RSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0RSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX01FTV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX01FTV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX1BBUkFN::MA==::UExMX01FTV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9DQUNIRQ==::MzAwLjA=::UExMX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9DQUNIRQ==::NjAwMDAwMA==::UExMX01FTV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX0NBQ0hF::MTAwMDAwMA==::UExMX01FTV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX1BBUkFN::MA==::UExMX0FGSV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ==::MzAwLjA=::UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::MzMzNCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ==::NjAwMDAwMA==::UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX0NBQ0hF::MTAwMDAwMA==::UExMX0FGSV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN::MA==::UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfUEFSQU0=::MA==::UExMX1dSSVRFX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF::NjAwMDAwMA==::UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU=::MTAwMDAwMA==::UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN::MA==::UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0=::MA==::UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ==::MjUwMA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MjUwMCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF::NjAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU=::MTAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN::MA==::UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0=::MA==::UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF::MTUwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::NjY2OCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF::NjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU=::MjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX05JT1NfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU=::NjAuMA==::UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUl9DQUNIRQ==::MTY2NzAgcHM=::UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF::NDE2::UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfQ0FDSEU=::NDYzIHBz::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU=::NjAwMDAwMA==::UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ==::NTAwMDAwMA==::UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX1BBUkFN::MA==::UExMX0NPTkZJR19DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ==::MjAuMA==::UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::NTAwMTAgcHM=::UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ==::NjAwMDAwMA==::UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF::MTUwMDAwMDA=::UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0hSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0hSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0hSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0hSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0hSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0hSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU=::MzAwLjA=::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUl9DQUNIRQ==::MzMzNCBwcw==::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfQ0FDSEU=::MCBwcw==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU=::NjAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ==::MTAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREVfQ0FDSEU=::OA==::U1BFRURfR1JBREVfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNFX0NBQ0hF::ZmFsc2U=::SVNfRVNfREVWSUNFX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::TUVNX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hF::NTAuMA==::UkVGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkFURV9DQUNIRQ==::RnVsbA==::UkFURV9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SENYX0NPTVBBVF9NT0RFX0NBQ0hF::ZmFsc2U=::SENYX0NPTVBBVF9NT0RFX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ==::Q1lDTE9ORVY=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09NTUFORF9QSEFTRV9DQUNIRQ==::MC4w::Q09NTUFORF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1BIQVNFX0NBQ0hF::MC4w::TUVNX0NLX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF::MC4w::UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ==::MC4w::QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF::MC4w::QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEVfQ0FDSEU=::TklPUw==::U0VRVUVOQ0VSX1RZUEVfQ0FDSEU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ==::ZmFsc2U=::VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NMS19DQUNIRV9WQUxJRA==::dHJ1ZQ==::UExMX0NMS19DQUNIRV9WQUxJRA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NMS19QQVJBTV9WQUxJRA==::ZmFsc2U=::UExMX0NMS19QQVJBTV9WQUxJRA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYVFJBX1JFUE9SVElORw==::ZmFsc2U=::RU5BQkxFX0VYVFJBX1JFUE9SVElORw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX0VYVFJBX1JFUE9SVF9QQVRI::MTA=::TlVNX0VYVFJBX1JFUE9SVF9QQVRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0lTU19QUk9CRVM=::ZmFsc2U=::RU5BQkxFX0lTU19QUk9CRVM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0FMSUJfUkVHX1dJRFRI::OA==::Q0FMSUJfUkVHX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1NFUVVFTkNFUl9CRk0=::ZmFsc2U=::VVNFX1NFUVVFTkNFUl9CRk0="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::UExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0FGSV9IQUxGX0NMSw==::ZmFsc2U=::RW5hYmxlIEFGSSBoYWxmIHJhdGUgY2xvY2s="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q=::ZmFsc2U=::QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA==::ZmFsc2U=::SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw=::ZmFsc2U=::SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZX0lOVEVSTkFM::ZmFsc2U=::VVNFX0ZBS0VfUEhZX0lOVEVSTkFM"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZ::ZmFsc2U=::VXNlIEZha2UgUEhZ"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::MA==::Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF::ZmFsc2U=::VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNUUlVDVElWRV9DQUxJQg==::ZmFsc2U=::RW5hYmxlcyBOb24tRGVzdHJ1Y3RpdmUgQ2FsaWJyYXRpb24="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1VTRVJfUkRJTU1fVkFMVUU=::ZmFsc2U=::VVNFX1VTRVJfUkRJTU1fVkFMVUU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF::ZmFsc2U=::RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfRVJST1JfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfRVJST1JfVEVTVA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfV0FUQ0hfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfV0FUQ0hfVEVTVA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFSR0lOX1ZBUklBVElPTl9URVNU::ZmFsc2U=::TUFSR0lOX1ZBUklBVElPTl9URVNU"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzA=::MF8wMDAwXzAwMDBfMDAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAx"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzE=::MF8wMDAwXzAwMDBfMTAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAy"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJFRkk=::MzUxMDA=::VHJlZmkgeCA5"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9JTlRFUlZBTA==::MTUwMDA=::UmVmcmVzaCBJbnRlcnZhbA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q=::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJGQw==::MzUw::VHJmYw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FM::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FM"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RFVklDRQ==::TUlTU0lOR19NT0RFTA==::TUVNX0RFVklDRQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw==::MQ==::TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw==::MQ==::UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UVZMRF9XUl9BRERSRVNTX09GRlNFVA==::NQ==::UVZMRF9XUl9BRERSRVNTX09GRlNFVA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NA==::TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM=::MQ==::TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM=::MA==::Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O::dHJ1ZQ==::UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VRX0JVUlNUX0NPVU5UX1dJRFRI::Mg==::U0VRX0JVUlNUX0NPVU5UX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkNBTElCX0NPVU5UX1dJRFRI::Mg==::VkNBTElCX0NPVU5UX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1BIQVNFX0NPVU5URVJfV0lEVEg=::NA==::UExMX1BIQVNFX0NPVU5URVJfV0lEVEg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc=::MA==::RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX1BIQVNFX1NISUZU::MA==::RFFTX1BIQVNFX1NISUZU"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H::Mg==::REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0lOX1JFU0VSVkU=::NA==::SU9fRFFTX0lOX1JFU0VSVkU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFTX09VVF9SRVNFUlZF::NA==::SU9fRFFTX09VVF9SRVNFUlZF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFfT1VUX1JFU0VSVkU=::MA==::SU9fRFFfT1VUX1JFU0VSVkU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRE1fT1VUX1JFU0VSVkU=::MA==::SU9fRE1fT1VUX1JFU0VSVkU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX0RFTEFZX09GRlNFVA==::MA==::SU9fRFFTX0VOX0RFTEFZX09GRlNFVA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX1BIQVNFX01BWA==::Nw==::SU9fRFFTX0VOX1BIQVNFX01BWA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFEUVNfT1VUX1BIQVNFX01BWA==::MA==::SU9fRFFEUVNfT1VUX1BIQVNFX01BWA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT::ZmFsc2U=::SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19OUw==::My4zMzM=::TUVNX0NMS19OUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19QUw==::MzMzMy4w::TUVNX0NMS19QUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0FMSUJfTEZJRk9fT0ZGU0VU::OA==::Q0FMSUJfTEZJRk9fT0ZGU0VU"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0FMSUJfVkZJRk9fT0ZGU0VU::Ng==::Q0FMSUJfVkZJRk9fT0ZGU0VU"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX09QQV9UQVA=::NDE2::REVMQVlfUEVSX09QQV9UQVA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RDSEFJTl9UQVA=::MjU=::REVMQVlfUEVSX0RDSEFJTl9UQVA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ::MjU=::REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX0VOX0RFTEFZX01BWA==::MzE=::RFFTX0VOX0RFTEFZX01BWA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX0lOX0RFTEFZX01BWA==::MzE=::RFFTX0lOX0RFTEFZX01BWA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fSU5fREVMQVlfTUFY::MzE=::SU9fSU5fREVMQVlfTUFY"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fT1VUMV9ERUxBWV9NQVg=::MzE=::SU9fT1VUMV9ERUxBWV9NQVg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fT1VUMl9ERUxBWV9NQVg=::MA==::SU9fT1VUMl9ERUxBWV9NQVg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fU1RBTkRBUkQ=::U1NUTC0xNQ==::SS9PIHN0YW5kYXJk"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkZJRk9fQVNfU0hJRlRfUkVH::dHJ1ZQ==::VkZJRk9fQVNfU0hJRlRfUkVH"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEU=::TklPUw==::U2VxdWVuY2VyIG9wdGltaXphdGlvbg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TklPU19IRVhfRklMRV9MT0NBVElPTg==::Li4v::TklPU19IRVhfRklMRV9MT0NBVElPTg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw==::ZmFsc2U=::QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U=::dHJ1ZQ==::TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RfV0w=::Ng==::TUVNX1RfV0w="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RfUkw=::Nw==::TUVNX1RfUkw="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEhZX0NMS0JVRg==::ZmFsc2U=::UEhZX0NMS0JVRg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw==::ZmFsc2U=::VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19GT1JfQUREUl9DTUQ=::ZmFsc2U=::VVNFX0xEQ19GT1JfQUREUl9DTUQ="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA==::ZmFsc2U=::RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA==::MA==::TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA==::dHJ1ZQ==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF::MA==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA==::ZmFsc2U=::Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU::ZmFsc2U=::Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVHSVNURVJfQzJQ::ZmFsc2U=::UkVHSVNURVJfQzJQ"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS::dHJ1ZQ==::RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYMTBfUlRMX1NFUQ==::ZmFsc2U=::TUFYMTBfUlRMX1NFUQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VRX01PREU=::MA==::RnVsbC0gb3IgaGFsZi1yYXRlIHNlcXVlbmNlcg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QURWQU5DRURfQ0tfUEhBU0VT::ZmFsc2U=::QWR2YW5jZWQgY2xvY2sgcGhhc2UgY29udHJvbA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgcGVyaXBoZXJ5LXRvLWNvcmUgdHJhbnNmZXI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRQ==::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgY29yZS10by1wZXJpcGhlcnkgdHJhbnNmZXI="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgUEhZIGNsb2NrIHRyZWU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1ZPTFRBR0U=::MS41ViBERFIz::U3VwcGx5IFZvbHRhZ2U="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0tJUF9NRU1fSU5JVA==::dHJ1ZQ==::U2tpcCBNZW1vcnkgSW5pdGlhbGl6YXRpb24gRGVsYXlz"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF::SU5WRVJURURfRFFTX0JVUw==::UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFfSU5QVVRfUkVHX1VTRV9DTEtO::ZmFsc2U=::RFFfSU5QVVRfUkVHX1VTRV9DTEtO"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX0RRU05fTU9ERQ==::RElGRkVSRU5USUFM::RFFTX0RRU05fTU9ERQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0RFQlVHX0lORk9fV0lEVEg=::MzI=::QUZJX0RFQlVHX0lORk9fV0lEVEg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0FMSUJSQVRJT05fTU9ERQ==::UXVpY2s=::QXV0by1jYWxpYnJhdGlvbiBtb2Rl"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TklPU19ST01fREFUQV9XSURUSA==::MzI=::TklPU19ST01fREFUQV9XSURUSA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TklPU19ST01fQUREUkVTU19XSURUSA==::MTM=::TklPU19ST01fQUREUkVTU19XSURUSA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX1NJWkU=::OA==::RGVwdGggb2YgdGhlIHJlYWQgRklGTw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9DT05ORUNUSU9O::SU5URVJOQUxfSlRBRw==::Q1NSIHBvcnQgaG9zdCBpbnRlcmZhY2U="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFUl9ERUJVR19MRVZFTA==::MQ==::RGVidWdnaW5nIGZlYXR1cmUgc2V0"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFUkFURV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgbWV0aG9k"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEU=::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURQ==::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURQ==::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURQ==::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEVfQVBQTElFRA==::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURV9BUFBMSUVE::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURV9BUFBMSUVE::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURV9BUFBMSUVE::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJUw==::MC4w::dElT"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSA==::MC4w::dElI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REUw==::MC4w::dERT"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESA==::MC4w::dERI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJU19BUFBMSUVE::MC4zNA==::dElT"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSF9BUFBMSUVE::MC4yNA==::dElI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REU19BUFBMSUVE::MC4xOA==::dERT"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESF9BUFBMSUVE::MC4xNjU=::dERI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0lTSV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgTWV0aG9k"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1U=::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT04=::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUU=::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTg==::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRQ==::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1VfQVBQTElFRA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSF9BUFBMSUVE::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT05fQVBQTElFRA==::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUVfQVBQTElFRA==::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTl9BUFBMSUVE::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRV9BUFBMSUVE::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBEUS9EUVMgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBBZGRyZXNzL0NvbW1hbmQgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9DS19ERUxBWQ==::MC42::TWF4aW11bSBDSyBkZWxheSB0byBESU1NL2RldmljZQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9EUVNfREVMQVk=::MC42::TWF4aW11bSBEUVMgZGVsYXkgdG8gRElNTS9kZXZpY2U="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU4=::LTAuMDE=::TWluaW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA==::LTAuMDE=::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVg=::MC4wMQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA==::MC4wMQ==::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NUw==::MC4wNQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRElNTXMvZGV2aWNlcw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE::MC4w::VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfV0lUSElOX0RRUw==::MC4wMg==::TWF4aW11bSBza2V3IHdpdGhpbiBEUVMgZ3JvdXA="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9EUVM=::MC4wMg==::TWF4aW11bSBza2V3IGJldHdlZW4gRFFTIGdyb3Vwcw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1RPX0RRU19TS0VX::MC4w::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRFEgYW5kIERRUw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NLRVc=::MC4wMg==::TWF4aW11bSBza2V3IHdpdGhpbiBhZGRyZXNzIGFuZCBjb21tYW5kIGJ1cw=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1RPX0NLX1NLRVc=::MC4w::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gYWRkcmVzcyBhbmQgY29tbWFuZCBhbmQgQ0s="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYUE9SVF9TRVFfREVCVUdfQlJJREdF::ZmFsc2U=::RW5hYmxlIEVNSUYgT24tQ2hpcCBEZWJ1ZyBQb3J0"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09SRV9ERUJVR19DT05ORUNUSU9O::RVhQT1JU::RU1JRiBPbi1DaGlwIERlYnVnIFBvcnQgaW50ZXJmYWNlIHR5cGU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUREX0VYVEVSTkFMX1NFUV9ERUJVR19OSU9T::ZmFsc2U=::QWRkIGV4dGVybmFsIE5pb3MgdG8gY29ubmVjdCB0byBzZXF1ZW5jZXIgZGVidWcgaW50ZXJmYWNl"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RURfRVhQT1JUX1NFUV9ERUJVRw==::ZmFsc2U=::RXhwb3J0IHNlcXVlbmNlciBkZWJ1ZyBpbnRlcmZhY2UgZnJvbSBleGFtcGxlIGRlc2lnbg=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUREX0VGRklDSUVOQ1lfTU9OSVRPUg==::ZmFsc2U=::RW5hYmxlIHRoZSBFZmZpY2llbmN5IE1vbml0b3IgYW5kIFByb3RvY29sIENoZWNrZXIgb24gdGhlIENvbnRyb2xsZXIgQXZhbG9uIEludGVyZmFjZQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FCU19SQU1fTUVNX0lOSVQ=::ZmFsc2U=::RW5hYmxlIHN1cHBvcnQgZm9yIE5pb3MgSUkgTW9kZWxTaW0gZmxvdyBpbiBFY2xpcHNl"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FCU19SQU1fSU5URVJOQUw=::ZmFsc2U=::RU5BQkxFX0FCU19SQU1fSU5URVJOQUw="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FCU1RSQUNUX1JBTQ==::ZmFsc2U=::RU5BQkxFX0FCU1RSQUNUX1JBTQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUJTX1JBTV9NRU1fSU5JVF9GSUxFTkFNRQ==::bWVtaW5pdA==::TWVtb3J5IGluaXRpYWxpemF0aW9uIGZpbGUgYmFzZW5hbWU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX0RFTEFZX0NUUkxfV0lEVEg=::Nw==::RExMX0RFTEFZX0NUUkxfV0lEVEg="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX09GRlNFVF9DVFJMX1dJRFRI::Ng==::RExMX09GRlNFVF9DVFJMX1dJRFRI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfQlVGRkVSX01PREU=::SElHSA==::REVMQVlfQlVGRkVSX01PREU="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfQ0hBSU5fTEVOR1RI::OA==::REVMQVlfQ0hBSU5fTEVOR1RI"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::RExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "T0NUX1RFUk1fQ09OVFJPTF9XSURUSA==::MTY=::T0NUX1RFUk1fQ09OVFJPTF9XSURUSA=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "T0NUX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::T0NUIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::NUNFQkEyRjE3QTc=::QXV0byBERVZJQ0U="
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Nw==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ=="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_NAME "YWx0ZXJhX3Jlc2V0X2NvbnRyb2xsZXI="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "TWVybGluIFJlc2V0IENvbnRyb2xsZXI="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "Rm9yIHN5c3RlbXMgd2l0aCBtdWx0aXBsZSByZXNldCBpbnB1dHMsIHRoZSBNZXJsaW4gUmVzZXQgQ29udHJvbGxlciBPUnMgYWxsIHJlc2V0IGlucHV0cyBhbmQgZ2VuZXJhdGVzIGEgc2luZ2xlIHJlc2V0IG91dHB1dC4="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX1JFU0VUX0lOUFVUUw==::MQ==::TnVtYmVyIG9mIGlucHV0cw=="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUUFVUX1JFU0VUX1NZTkNfRURHRVM=::ZGVhc3NlcnQ=::T3V0cHV0IFJlc2V0IFN5bmNocm9ub3VzIEVkZ2Vz"
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1lOQ19ERVBUSA==::Mg==::U3luY2hyb25pemVyIGRlcHRo"
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRVUVTVF9QUkVTRU5U::MA==::UmVzZXQgcmVxdWVzdCBsb2dpYyBlbmFibGU="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRX1dBSVRfVElNRQ==::MQ==::UmVzZXQgcmVxdWVzdCB3YWl0IHRpbWU="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUlOX1JTVF9BU1NFUlRJT05fVElNRQ==::Mw==::TWluaW11bSByZXNldCBhc3NlcnRpb24gdGltZQ=="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRX0VBUkxZX0RTUlRfVElNRQ==::MQ==::UmVzZXQgcmVxdWVzdCBkZWFzc2VydCB0aW1pbmc="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4w::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjA="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4x::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4y::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjI="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4z::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjM="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU40::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjQ="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU41::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjU="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU42::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjY="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU43::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjc="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU44::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjg="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU45::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjk="
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMA==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEw"
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMQ==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEx"
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMg==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEy"
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMw==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEz"
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xNA==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE0"
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xNQ==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE1"
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU5QVVQ=::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcmVzZXRfaW5wdXRz"
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_COMPONENT_PARAMETER "QURBUFRfUkVTRVRfUkVRVUVTVA==::MA==::T25seSBhZGFwdCBvbmx5IHJlc2V0IHJlcXVlc3Q="
set_global_assignment -entity "ddr3_mm_interconnect_2" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19tbV9pbnRlcmNvbm5lY3RfMg=="
set_global_assignment -entity "ddr3_mm_interconnect_2" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "TU0gSW50ZXJjb25uZWN0"
set_global_assignment -entity "ddr3_mm_interconnect_2" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2" -library "ddr3" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "ddr3_mm_interconnect_2" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_mm_interconnect_2" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "TU0gSW50ZXJjb25uZWN0"
set_global_assignment -entity "ddr3_mm_interconnect_2" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "ddr3_mm_interconnect_2" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::NUNFQkEyRjE3QTc=::QXV0byBERVZJQ0U="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19tbV9pbnRlcmNvbm5lY3RfMl9hdmFsb25fc3RfYWRhcHRlcl8wMDE="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEFkYXB0ZXI="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "QWRhcHQgbWlzbWF0Y2hlZCBBdmFsb24tU1QgZW5kcG9pbnRz"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5CaXRzUGVyU3ltYm9s::MTA=::U3ltYm9sIFdpZHRo"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::MA==::VXNlIFBhY2tldA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5EYXRhV2lkdGg=::MTA=::U291cmNlIERhdGEgV2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5NYXhDaGFubmVs::MA==::U291cmNlIE1heCBDaGFubmVs"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5DaGFubmVsV2lkdGg=::MA==::U291cmNlIENoYW5uZWwgUG9ydCBXaWR0aA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::MA==::U291cmNlIEVycm9yIFBvcnQgV2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eVBvcnQ=::MA==::U291cmNlIFVzZXMgRW1wdHkgUG9ydA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5FbXB0eVdpZHRo::MQ==::U291cmNlIEVtcHR5IFBvcnQgV2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VWYWxpZA==::MQ==::U291cmNlIFVzZXMgVmFsaWQgUG9ydA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VSZWFkeQ==::MQ==::U291cmNlIFVzZXMgUmVhZHkgUG9ydA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5SZWFkeUxhdGVuY3k=::MA==::U291cmNlIFJlYWR5IExhdGVuY3k="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0RGF0YVdpZHRo::MTA=::U2luayBEYXRhIFdpZHRo"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0TWF4Q2hhbm5lbA==::MA==::U2luayBNYXggQ2hhbm5lbA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0Q2hhbm5lbFdpZHRo::MA==::U2luayBDaGFubmVsIFBvcnQgV2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0RXJyb3JXaWR0aA==::MQ==::U2luayBFcnJvciBQb3J0IFdpZHRo"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0VXNlRW1wdHlQb3J0::MA==::U2luayBVc2VzIEVtcHR5IFBvcnQ="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0RW1wdHlXaWR0aA==::MQ==::U2luayBFbXB0eSBQb3J0IFdpZHRo"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0VXNlVmFsaWQ=::MQ==::U2luayBVc2VzIFZhbGlkIFBvcnQ="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0VXNlUmVhZHk=::MQ==::U2luayBVc2VzIFJlYWR5IFBvcnQ="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0UmVhZHlMYXRlbmN5::MA==::U2luayBSZWFkeSBMYXRlbmN5"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::NUNFQkEyRjE3QTc=::QXV0byBERVZJQ0U="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19tbV9pbnRlcmNvbm5lY3RfMl9hdmFsb25fc3RfYWRhcHRlcl8wMDFfZXJyb3JfYWRhcHRlcl8w"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEVycm9yIEFkYXB0ZXI="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5DaGFubmVsV2lkdGg=::MA==::Q2hhbm5lbCBTaWduYWwgV2lkdGggKGJpdHMp"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5NYXhDaGFubmVs::MA==::TWF4IENoYW5uZWw="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5CaXRzUGVyU3ltYm9s::MTA=::RGF0YSBCaXRzIFBlciBTeW1ib2w="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::ZmFsc2U=::SW5jbHVkZSBQYWNrZXQgU3VwcG9ydA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eQ==::ZmFsc2U=::aW5Vc2VFbXB0eQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5TeW1ib2xzUGVyQmVhdA==::MQ==::RGF0YSBTeW1ib2xzIFBlciBCZWF0"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VSZWFkeQ==::dHJ1ZQ==::U3VwcG9ydCBCYWNrcHJlc3N1cmUgd2l0aCB0aGUgcmVhZHkgc2lnbmFs"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5SZWFkeUxhdGVuY3k=::MA==::UmVhZHkgTGF0ZW5jeQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::MA==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0RXJyb3JXaWR0aA==::MQ==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19tbV9pbnRlcmNvbm5lY3RfMl9hdmFsb25fc3RfYWRhcHRlcg=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEFkYXB0ZXI="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "QWRhcHQgbWlzbWF0Y2hlZCBBdmFsb24tU1QgZW5kcG9pbnRz"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5CaXRzUGVyU3ltYm9s::MzQ=::U3ltYm9sIFdpZHRo"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::MA==::VXNlIFBhY2tldA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5EYXRhV2lkdGg=::MzQ=::U291cmNlIERhdGEgV2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5NYXhDaGFubmVs::MA==::U291cmNlIE1heCBDaGFubmVs"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5DaGFubmVsV2lkdGg=::MA==::U291cmNlIENoYW5uZWwgUG9ydCBXaWR0aA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::MA==::U291cmNlIEVycm9yIFBvcnQgV2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eVBvcnQ=::MA==::U291cmNlIFVzZXMgRW1wdHkgUG9ydA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5FbXB0eVdpZHRo::MQ==::U291cmNlIEVtcHR5IFBvcnQgV2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VWYWxpZA==::MQ==::U291cmNlIFVzZXMgVmFsaWQgUG9ydA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VSZWFkeQ==::MQ==::U291cmNlIFVzZXMgUmVhZHkgUG9ydA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5SZWFkeUxhdGVuY3k=::MA==::U291cmNlIFJlYWR5IExhdGVuY3k="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0RGF0YVdpZHRo::MzQ=::U2luayBEYXRhIFdpZHRo"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0TWF4Q2hhbm5lbA==::MA==::U2luayBNYXggQ2hhbm5lbA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0Q2hhbm5lbFdpZHRo::MA==::U2luayBDaGFubmVsIFBvcnQgV2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0RXJyb3JXaWR0aA==::MQ==::U2luayBFcnJvciBQb3J0IFdpZHRo"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0VXNlRW1wdHlQb3J0::MA==::U2luayBVc2VzIEVtcHR5IFBvcnQ="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0RW1wdHlXaWR0aA==::MQ==::U2luayBFbXB0eSBQb3J0IFdpZHRo"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0VXNlVmFsaWQ=::MQ==::U2luayBVc2VzIFZhbGlkIFBvcnQ="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0VXNlUmVhZHk=::MQ==::U2luayBVc2VzIFJlYWR5IFBvcnQ="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0UmVhZHlMYXRlbmN5::MA==::U2luayBSZWFkeSBMYXRlbmN5"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::NUNFQkEyRjE3QTc=::QXV0byBERVZJQ0U="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19tbV9pbnRlcmNvbm5lY3RfMl9hdmFsb25fc3RfYWRhcHRlcl9lcnJvcl9hZGFwdGVyXzA="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEVycm9yIEFkYXB0ZXI="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5DaGFubmVsV2lkdGg=::MA==::Q2hhbm5lbCBTaWduYWwgV2lkdGggKGJpdHMp"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5NYXhDaGFubmVs::MA==::TWF4IENoYW5uZWw="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5CaXRzUGVyU3ltYm9s::MzQ=::RGF0YSBCaXRzIFBlciBTeW1ib2w="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::ZmFsc2U=::SW5jbHVkZSBQYWNrZXQgU3VwcG9ydA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eQ==::ZmFsc2U=::aW5Vc2VFbXB0eQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5TeW1ib2xzUGVyQmVhdA==::MQ==::RGF0YSBTeW1ib2xzIFBlciBCZWF0"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VSZWFkeQ==::dHJ1ZQ==::U3VwcG9ydCBCYWNrcHJlc3N1cmUgd2l0aCB0aGUgcmVhZHkgc2lnbmFs"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5SZWFkeUxhdGVuY3k=::MA==::UmVhZHkgTGF0ZW5jeQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::MA==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0RXJyb3JXaWR0aA==::MQ==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl93aWR0aF9hZGFwdGVy"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBXaWR0aCBBZGFwdGVy"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "Q29udmVydHMgYmV0d2VlbiBBdmFsb24tTU0gbWFzdGVyIGFuZCBzbGF2ZXMgd2l0aCBkaWZmZXJlbnQgZGF0YSBhbmQgYnl0ZSBlbmFibGUgd2lkdGhzLg=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0FERFJfSA==::NDA=::SW5wdXQgcGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0FERFJfTA==::OQ==::SW5wdXQgcGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0RBVEFfSA==::Nw==::SW5wdXQgcGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0RBVEFfTA==::MA==::SW5wdXQgcGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JZVEVFTl9I::OA==::SW5wdXQgcGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JZVEVFTl9M::OA==::SW5wdXQgcGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JZVEVfQ05UX0g=::NDk=::SW5wdXQgcGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JZVEVfQ05UX0w=::NDc=::SW5wdXQgcGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::NDE=::SW5wdXQgcGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX1RSQU5TX1dSSVRF::NDM=::SW5wdXQgcGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JVUlNUV1JBUF9I::NTA=::SW5wdXQgcGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JVUlNUV1JBUF9M::NTA=::SW5wdXQgcGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JVUlNUX1NJWkVfSA==::NTM=::SW5wdXQgcGFja2V0IGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JVUlNUX1NJWkVfTA==::NTE=::SW5wdXQgcGFja2V0IGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX1JFU1BPTlNFX1NUQVRVU19I::NzE=::SW5wdXQgcGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX1JFU1BPTlNFX1NUQVRVU19M::NzA=::SW5wdXQgcGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX1RSQU5TX0VYQ0xVU0lWRQ==::NDY=::SW5wdXQgcGFja2V0IGV4Y2x1c2l2ZSB0cmFucyBmaWVsZA=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JVUlNUX1RZUEVfSA==::NTU=::SW5wdXQgcGFja2V0IGJ1cnN0IHR5cGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JVUlNUX1RZUEVfTA==::NTQ=::SW5wdXQgcGFja2V0IGJ1cnN0IHR5cGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX09SSV9CVVJTVF9TSVpFX0w=::NzI=::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fUEtUX09SSV9CVVJTVF9TSVpFX0g=::NzQ=::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fU1RfREFUQV9X::NzU=::SW5wdXQgZGF0YSB3aWR0aA=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9BRERSX0g=::Njc=::T3V0cHV0IHBhY2tldCBhZGRyZXNzIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9BRERSX0w=::MzY=::T3V0cHV0IHBhY2tldCBhZGRyZXNzIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9EQVRBX0g=::MzE=::T3V0cHV0IHBhY2tldCBieXRlZW5hYmxlIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9EQVRBX0w=::MA==::T3V0cHV0IHBhY2tldCBieXRlZW5hYmxlIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9CWVRFRU5fSA==::MzU=::T3V0cHV0IHBhY2tldCBieXRlIGNvdW50IGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9CWVRFRU5fTA==::MzI=::T3V0cHV0IHBhY2tldCBieXRlIGNvdW50IGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9CWVRFX0NOVF9I::NzY=::T3V0cHV0IHBhY2tldCBidXJzdHdyYXAgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9CWVRFX0NOVF9M::NzQ=::T3V0cHV0IHBhY2tldCBidXJzdHdyYXAgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9UUkFOU19DT01QUkVTU0VEX1JFQUQ=::Njg=::T3V0cHV0IHBhY2tldCBkYXRhIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9CVVJTVF9TSVpFX0g=::ODA=::T3V0cHV0IHBhY2tldCBidXJzdCBzaXplIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9CVVJTVF9TSVpFX0w=::Nzg=::T3V0cHV0IHBhY2tldCBidXJzdCBzaXplIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9SRVNQT05TRV9TVEFUVVNfSA==::OTg=::T3V0cHV0IHBhY2tldCByZXNwb25zZSBzdGF0dXMgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9SRVNQT05TRV9TVEFUVVNfTA==::OTc=::T3V0cHV0IHBhY2tldCByZXNwb25zZSBzdGF0dXMgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9UUkFOU19FWENMVVNJVkU=::NzM=::T3V0cHV0IHBhY2tldCBleGNsdXNpdmUgdHJhbnMgZmllbGQ="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9CVVJTVF9UWVBFX0g=::ODI=::SW5wdXQgcGFja2V0IGJ1cnN0IHR5cGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9CVVJTVF9UWVBFX0w=::ODE=::SW5wdXQgcGFja2V0IGJ1cnN0IHR5cGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9PUklfQlVSU1RfU0laRV9M::OTk=::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9PUklfQlVSU1RfU0laRV9I::MTAx::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX1NUX0RBVEFfVw==::MTAy::T3V0cHV0IHBhY2tldCBkYXRhIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1BUSU1JWkVfRk9SX1JTUA==::MQ==::T3B0aW1pemUgZm9yIHJlc3BvbnNl"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVTUE9OU0VfUEFUSA==::MQ==::UmVzcG9uc2Ugd2lkdGggYWRhcHRlcg=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09OU1RBTlRfQlVSU1RfU0laRQ==::MQ==::U3lzdGVtIG9ubHkgaGF2ZSBjb25zdGFudCBidXJzdCBzaXpl"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFDS0lORw==::MQ==::TmFycm93LXRvLVdpZGUgcGFja2luZw=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fTUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoNzQ6NzIpIHJlc3BvbnNlX3N0YXR1cyg3MTo3MCkgY2FjaGUoNjk6NjYpIHByb3RlY3Rpb24oNjU6NjMpIHRocmVhZF9pZCg2MikgZGVzdF9pZCg2MSkgc3JjX2lkKDYwKSBxb3MoNTkpIGJlZ2luX2J1cnN0KDU4KSBkYXRhX3NpZGViYW5kKDU3KSBhZGRyX3NpZGViYW5kKDU2KSBidXJzdF90eXBlKDU1OjU0KSBidXJzdF9zaXplKDUzOjUxKSBidXJzdHdyYXAoNTApIGJ5dGVfY250KDQ5OjQ3KSB0cmFuc19leGNsdXNpdmUoNDYpIHRyYW5zX2xvY2soNDUpIHRyYW5zX3JlYWQoNDQpIHRyYW5zX3dyaXRlKDQzKSB0cmFuc19wb3N0ZWQoNDIpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg0MSkgYWRkcig0MDo5KSBieXRlZW4oOCkgZGF0YSg3OjAp::SW5wdXQgTWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX01FUkxJTl9QQUNLRVRfRk9STUFU::b3JpX2J1cnN0X3NpemUoMTAxOjk5KSByZXNwb25zZV9zdGF0dXMoOTg6OTcpIGNhY2hlKDk2OjkzKSBwcm90ZWN0aW9uKDkyOjkwKSB0aHJlYWRfaWQoODkpIGRlc3RfaWQoODgpIHNyY19pZCg4NykgcW9zKDg2KSBiZWdpbl9idXJzdCg4NSkgZGF0YV9zaWRlYmFuZCg4NCkgYWRkcl9zaWRlYmFuZCg4MykgYnVyc3RfdHlwZSg4Mjo4MSkgYnVyc3Rfc2l6ZSg4MDo3OCkgYnVyc3R3cmFwKDc3KSBieXRlX2NudCg3Njo3NCkgdHJhbnNfZXhjbHVzaXZlKDczKSB0cmFuc19sb2NrKDcyKSB0cmFuc19yZWFkKDcxKSB0cmFuc193cml0ZSg3MCkgdHJhbnNfcG9zdGVkKDY5KSB0cmFuc19jb21wcmVzc2VkX3JlYWQoNjgpIGFkZHIoNjc6MzYpIGJ5dGVlbigzNTozMikgZGF0YSgzMTowKQ==::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvciAtIG91dHB1dA=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09NTUFORF9TSVpFX1c=::Mw==::Q29tbWFuZC1zaXplIGlucHV0IHdpZHRo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FERFJFU1NfQUxJR05NRU5U::MA==::QWRkcmVzcyBhbGlnbm1lbnQ="
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19tbV9pbnRlcmNvbm5lY3RfMl9yc3BfbXV4"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg=="
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4="
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTAy::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::Mg==::TnVtYmVyIG9mIG11eCBpbnB1dHM="
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NzI=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::bm8tYXJi::QXJiaXRyYXRpb24gc2NoZW1l"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwx::QXJiaXRyYXRpb24gc2hhcmVz"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTAxOjk5KSByZXNwb25zZV9zdGF0dXMoOTg6OTcpIGNhY2hlKDk2OjkzKSBwcm90ZWN0aW9uKDkyOjkwKSB0aHJlYWRfaWQoODkpIGRlc3RfaWQoODgpIHNyY19pZCg4NykgcW9zKDg2KSBiZWdpbl9idXJzdCg4NSkgZGF0YV9zaWRlYmFuZCg4NCkgYWRkcl9zaWRlYmFuZCg4MykgYnVyc3RfdHlwZSg4Mjo4MSkgYnVyc3Rfc2l6ZSg4MDo3OCkgYnVyc3R3cmFwKDc3KSBieXRlX2NudCg3Njo3NCkgdHJhbnNfZXhjbHVzaXZlKDczKSB0cmFuc19sb2NrKDcyKSB0cmFuc19yZWFkKDcxKSB0cmFuc193cml0ZSg3MCkgdHJhbnNfcG9zdGVkKDY5KSB0cmFuc19jb21wcmVzc2VkX3JlYWQoNjgpIGFkZHIoNjc6MzYpIGJ5dGVlbigzNTozMikgZGF0YSgzMTowKQ==::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_demux" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19tbV9pbnRlcmNvbm5lY3RfMl9yc3BfZGVtdXg="
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_demux" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_demux" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_demux" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_demux" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_demux" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_demux" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_demux" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTAy::UGFja2V0IGRhdGEgd2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_demux" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_demux" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::MQ==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM="
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_demux" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_demux" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTAxOjk5KSByZXNwb25zZV9zdGF0dXMoOTg6OTcpIGNhY2hlKDk2OjkzKSBwcm90ZWN0aW9uKDkyOjkwKSB0aHJlYWRfaWQoODkpIGRlc3RfaWQoODgpIHNyY19pZCg4NykgcW9zKDg2KSBiZWdpbl9idXJzdCg4NSkgZGF0YV9zaWRlYmFuZCg4NCkgYWRkcl9zaWRlYmFuZCg4MykgYnVyc3RfdHlwZSg4Mjo4MSkgYnVyc3Rfc2l6ZSg4MDo3OCkgYnVyc3R3cmFwKDc3KSBieXRlX2NudCg3Njo3NCkgdHJhbnNfZXhjbHVzaXZlKDczKSB0cmFuc19sb2NrKDcyKSB0cmFuc19yZWFkKDcxKSB0cmFuc193cml0ZSg3MCkgdHJhbnNfcG9zdGVkKDY5KSB0cmFuc19jb21wcmVzc2VkX3JlYWQoNjgpIGFkZHIoNjc6MzYpIGJ5dGVlbigzNTozMikgZGF0YSgzMTowKQ==::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_demux" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_demux" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MA==::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19tbV9pbnRlcmNvbm5lY3RfMl9jbWRfbXV4"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg=="
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4="
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTAy::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::MQ==::TnVtYmVyIG9mIG11eCBpbnB1dHM="
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NzI=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::cm91bmQtcm9iaW4=::QXJiaXRyYXRpb24gc2NoZW1l"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MQ==::QXJiaXRyYXRpb24gc2hhcmVz"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTAxOjk5KSByZXNwb25zZV9zdGF0dXMoOTg6OTcpIGNhY2hlKDk2OjkzKSBwcm90ZWN0aW9uKDkyOjkwKSB0aHJlYWRfaWQoODkpIGRlc3RfaWQoODgpIHNyY19pZCg4NykgcW9zKDg2KSBiZWdpbl9idXJzdCg4NSkgZGF0YV9zaWRlYmFuZCg4NCkgYWRkcl9zaWRlYmFuZCg4MykgYnVyc3RfdHlwZSg4Mjo4MSkgYnVyc3Rfc2l6ZSg4MDo3OCkgYnVyc3R3cmFwKDc3KSBieXRlX2NudCg3Njo3NCkgdHJhbnNfZXhjbHVzaXZlKDczKSB0cmFuc19sb2NrKDcyKSB0cmFuc19yZWFkKDcxKSB0cmFuc193cml0ZSg3MCkgdHJhbnNfcG9zdGVkKDY5KSB0cmFuc19jb21wcmVzc2VkX3JlYWQoNjgpIGFkZHIoNjc6MzYpIGJ5dGVlbigzNTozMikgZGF0YSgzMTowKQ==::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_demux" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19tbV9pbnRlcmNvbm5lY3RfMl9jbWRfZGVtdXg="
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_demux" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_demux" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_demux" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_demux" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_demux" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_demux" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_demux" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTAy::UGFja2V0IGRhdGEgd2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_demux" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_demux" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::Mg==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM="
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_demux" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::Mg==::VmFsaWQgd2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_demux" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTAxOjk5KSByZXNwb25zZV9zdGF0dXMoOTg6OTcpIGNhY2hlKDk2OjkzKSBwcm90ZWN0aW9uKDkyOjkwKSB0aHJlYWRfaWQoODkpIGRlc3RfaWQoODgpIHNyY19pZCg4NykgcW9zKDg2KSBiZWdpbl9idXJzdCg4NSkgZGF0YV9zaWRlYmFuZCg4NCkgYWRkcl9zaWRlYmFuZCg4MykgYnVyc3RfdHlwZSg4Mjo4MSkgYnVyc3Rfc2l6ZSg4MDo3OCkgYnVyc3R3cmFwKDc3KSBieXRlX2NudCg3Njo3NCkgdHJhbnNfZXhjbHVzaXZlKDczKSB0cmFuc19sb2NrKDcyKSB0cmFuc19yZWFkKDcxKSB0cmFuc193cml0ZSg3MCkgdHJhbnNfcG9zdGVkKDY5KSB0cmFuc19jb21wcmVzc2VkX3JlYWQoNjgpIGFkZHIoNjc6MzYpIGJ5dGVlbigzNTozMikgZGF0YSgzMTowKQ==::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_demux" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_demux" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MA==::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9idXJzdF9hZGFwdGVy"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBCdXJzdCBBZGFwdGVy"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "QWNjb21tb2RhdGVzIHRoZSBidXJzdCBjYXBhYmlsaXRpZXMgb2YgZWFjaCBpbnRlcmZhY2UgaW4gdGhlIHN5c3RlbSwgaW5jbHVkaW5nIGludGVyZmFjZXMgdGhhdCBkbyBub3Qgc3VwcG9ydCBidXJzdCB0cmFuc2ZlcnMsIHRyYW5zbGF0aW5nIGJ1cnN0IHNpemVzIGFzIHJlcXVpcmVkLg=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NDA=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::OQ==::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JFR0lOX0JVUlNU::NTg=::UGFja2V0IGJlZ2luIGJ1cnN0IGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::NDk=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NDc=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::OA==::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::OA==::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfSA==::NTM=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfTA==::NTE=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1RZUEVfSA==::NTU=::UGFja2V0IGJ1cnN0dHlwZSBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1RZUEVfTA==::NTQ=::UGFja2V0IGJ1cnN0dHlwZSBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9I::NTA=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9M::NTA=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::NDE=::UGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NDM=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NDQ=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX05BUlJPV19TSVpF::MA==::c2xhdmUgbmFycm93IHNpemVkIG91dHB1dA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5fTkFSUk9XX1NJWkU=::MA==::c2xhdmUgbmFycm93IHNpemVkIG91dHB1dA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX0ZJWEVE::MA==::c2xhdmUgZml4ZWQgb3V0cHV0"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX0NPTVBMRVRFX1dSQVA=::MA==::c2xhdmUgY29tcGxldGUgd3JhcCBvdXRwdXQ="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::NzU=::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX0JZVEVfQ05UX0g=::NDc=::TWF4aW11bSBvdXRwdXQgcGFja2V0IGJ5dGUgY291bnQgaW5kZXg="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "T1VUX0JVUlNUV1JBUF9I::NTA=::TWF4aW11bSBvdXRwdXQgcGFja2V0IGJ1cnN0d3JhcCBpbmRleA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoNzQ6NzIpIHJlc3BvbnNlX3N0YXR1cyg3MTo3MCkgY2FjaGUoNjk6NjYpIHByb3RlY3Rpb24oNjU6NjMpIHRocmVhZF9pZCg2MikgZGVzdF9pZCg2MSkgc3JjX2lkKDYwKSBxb3MoNTkpIGJlZ2luX2J1cnN0KDU4KSBkYXRhX3NpZGViYW5kKDU3KSBhZGRyX3NpZGViYW5kKDU2KSBidXJzdF90eXBlKDU1OjU0KSBidXJzdF9zaXplKDUzOjUxKSBidXJzdHdyYXAoNTApIGJ5dGVfY250KDQ5OjQ3KSB0cmFuc19leGNsdXNpdmUoNDYpIHRyYW5zX2xvY2soNDUpIHRyYW5zX3JlYWQoNDQpIHRyYW5zX3dyaXRlKDQzKSB0cmFuc19wb3N0ZWQoNDIpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg0MSkgYWRkcig0MDo5KSBieXRlZW4oOCkgZGF0YSg3OjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09NUFJFU1NFRF9SRUFEX1NVUFBPUlQ=::MA==::Q29tcHJlc3NlZCByZWFkIHN1cHBvcnQ="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "QllURUVOQUJMRV9TWU5USEVTSVM=::MQ==::Qnl0ZWVuYWJsZSBTeW50aGVzaXMgU3VwcG9ydA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UElQRV9JTlBVVFM=::MA==::QnVyc3QgYWRhcHRlciBpbnB1dCBwaXBlbGluZQ=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "Tk9fV1JBUF9TVVBQT1JU::MA==::Tm8gd3JhcCBjYWxjdWxhdGlvbiBzdXBwb3J0"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5DT01QTEVURV9XUkFQX1NVUFBPUlQ=::MA==::SW4tY29tcGxldGVkIHdyYXAgY2FsY3VsYXRpb24gc3VwcG9ydA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "QlVSU1RXUkFQX0NPTlNUX01BU0s=::MQ==::QnVyc3R3cmFwLWNvbnN0YW50IG1hc2s="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "QlVSU1RXUkFQX0NPTlNUX1ZBTFVF::MQ==::QnVyc3R3cmFwLWNvbnN0YW50IHZhbHVl"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "QURBUFRFUl9WRVJTSU9O::MTMuMQ==::U2VsZWN0IGJ1cnN0IGFkYXB0ZXIgdmVyc2lvbg=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl90cmFmZmljX2xpbWl0ZXI="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBUcmFmZmljIExpbWl0ZXI="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "RW5zdXJlcyB0aGUgcmVzcG9uc2VzIGFycml2ZSBpbiBvcmRlciwgc2ltcGxpZnlpbmcgdGhlIFFzeXMgcmVzcG9uc2UgbmV0d29yay4="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::ODg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9I::ODc=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9M::ODc=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::NzY=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NzQ=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::MzU=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::MzI=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1BPU1RFRA==::Njk=::UGFja2V0IHBvc3RlZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NzA=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9I::ODk=::UGFja2V0IHRocmVhZCBJRCBmaWVsZCBpbmRleCBNU0I="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9M::ODk=::UGFja2V0IHRocmVhZCBJRCBmaWVsZCBpbmRleCBMU0I="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYX0JVUlNUX0xFTkdUSA==::MQ==::TWF4aW11bSBidXJzdCBsZW5ndGg="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYX09VVFNUQU5ESU5HX1JFU1BPTlNFUw==::Ng==::TWF4aW11bSBvdXRzdGFuZGluZyByZXNwb25zZXM="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVE::MA==::UGlwZWxpbmU="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTAy::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::Mg==::VmFsaWQgd2lkdGg="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5GT1JDRV9PUkRFUg==::MQ==::RW5mb3JjZSBvcmRlcg=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJFVkVOVF9IQVpBUkRT::MA==::UHJldmVudCBoYXphcmRz"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1VQUE9SVFNfUE9TVEVEX1dSSVRFUw==::MQ==::SGF6YXJkIHByZXZlbnRpb246IHBvc3RlZCB3cml0ZSBzdXBwb3J0"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1VQUE9SVFNfTk9OUE9TVEVEX1dSSVRFUw==::MA==::SGF6YXJkIHByZXZlbnRpb246IG5vbi1wb3N0ZWQgd3JpdGUgc3VwcG9ydA=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTAxOjk5KSByZXNwb25zZV9zdGF0dXMoOTg6OTcpIGNhY2hlKDk2OjkzKSBwcm90ZWN0aW9uKDkyOjkwKSB0aHJlYWRfaWQoODkpIGRlc3RfaWQoODgpIHNyY19pZCg4NykgcW9zKDg2KSBiZWdpbl9idXJzdCg4NSkgZGF0YV9zaWRlYmFuZCg4NCkgYWRkcl9zaWRlYmFuZCg4MykgYnVyc3RfdHlwZSg4Mjo4MSkgYnVyc3Rfc2l6ZSg4MDo3OCkgYnVyc3R3cmFwKDc3KSBieXRlX2NudCg3Njo3NCkgdHJhbnNfZXhjbHVzaXZlKDczKSB0cmFuc19sb2NrKDcyKSB0cmFuc19yZWFkKDcxKSB0cmFuc193cml0ZSg3MCkgdHJhbnNfcG9zdGVkKDY5KSB0cmFuc19jb21wcmVzc2VkX3JlYWQoNjgpIGFkZHIoNjc6MzYpIGJ5dGVlbigzNTozMikgZGF0YSgzMTowKQ==::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVPUkRFUg==::MA==::RW5hYmxlIHJlb3JkZXIgYnVmZmVy"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19tbV9pbnRlcmNvbm5lY3RfMl9yb3V0ZXJfMDAy"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MA==::RGVzdGluYXRpb24gSUQ="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MQ==::QmluYXJ5IENoYW5uZWwgU3RyaW5n"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aA==::VHlwZSBvZiBUcmFuc2FjdGlvbg=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgw::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgw::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MQ==::Tm9uLXNlY3VyZWQgdGFncw=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MA==::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MA==::U2VjdXJlZCByYW5nZSBwYWlycw=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NDA=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::OQ==::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::NjU=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::NjM=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::NjE=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::NjE=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NDM=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NDQ=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::NzU=::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MDoxOjB4MDoweDA6Ym90aDoxOjA6MDox::U0xBVkVTX0lORk8="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MA==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoNzQ6NzIpIHJlc3BvbnNlX3N0YXR1cyg3MTo3MCkgY2FjaGUoNjk6NjYpIHByb3RlY3Rpb24oNjU6NjMpIHRocmVhZF9pZCg2MikgZGVzdF9pZCg2MSkgc3JjX2lkKDYwKSBxb3MoNTkpIGJlZ2luX2J1cnN0KDU4KSBkYXRhX3NpZGViYW5kKDU3KSBhZGRyX3NpZGViYW5kKDU2KSBidXJzdF90eXBlKDU1OjU0KSBidXJzdF9zaXplKDUzOjUxKSBidXJzdHdyYXAoNTApIGJ5dGVfY250KDQ5OjQ3KSB0cmFuc19leGNsdXNpdmUoNDYpIHRyYW5zX2xvY2soNDUpIHRyYW5zX3JlYWQoNDQpIHRyYW5zX3dyaXRlKDQzKSB0cmFuc19wb3N0ZWQoNDIpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg0MSkgYWRkcig0MDo5KSBieXRlZW4oOCkgZGF0YSg3OjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19tbV9pbnRlcmNvbm5lY3RfMl9yb3V0ZXJfMDAx"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MA==::RGVzdGluYXRpb24gSUQ="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MQ==::QmluYXJ5IENoYW5uZWwgU3RyaW5n"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aA==::VHlwZSBvZiBUcmFuc2FjdGlvbg=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgw::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgw::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MQ==::Tm9uLXNlY3VyZWQgdGFncw=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MA==::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MA==::U2VjdXJlZCByYW5nZSBwYWlycw=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::Njc=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::OTI=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::OTA=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::ODg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NzA=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NzE=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTAy::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MDoxOjB4MDoweDA6Ym90aDoxOjA6MDox::U0xBVkVTX0lORk8="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MA==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTAxOjk5KSByZXNwb25zZV9zdGF0dXMoOTg6OTcpIGNhY2hlKDk2OjkzKSBwcm90ZWN0aW9uKDkyOjkwKSB0aHJlYWRfaWQoODkpIGRlc3RfaWQoODgpIHNyY19pZCg4NykgcW9zKDg2KSBiZWdpbl9idXJzdCg4NSkgZGF0YV9zaWRlYmFuZCg4NCkgYWRkcl9zaWRlYmFuZCg4MykgYnVyc3RfdHlwZSg4Mjo4MSkgYnVyc3Rfc2l6ZSg4MDo3OCkgYnVyc3R3cmFwKDc3KSBieXRlX2NudCg3Njo3NCkgdHJhbnNfZXhjbHVzaXZlKDczKSB0cmFuc19sb2NrKDcyKSB0cmFuc19yZWFkKDcxKSB0cmFuc193cml0ZSg3MCkgdHJhbnNfcG9zdGVkKDY5KSB0cmFuc19jb21wcmVzc2VkX3JlYWQoNjgpIGFkZHIoNjc6MzYpIGJ5dGVlbigzNTozMikgZGF0YSgzMTowKQ==::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19tbV9pbnRlcmNvbm5lY3RfMl9yb3V0ZXI="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu"
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MSww::RGVzdGluYXRpb24gSUQ="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MDEsMTA=::QmluYXJ5IENoYW5uZWwgU3RyaW5n"
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aCxib3Ro::VHlwZSBvZiBUcmFuc2FjdGlvbg=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgwLDB4NDAw::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp"
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHg0MDAsMHg4MDA=::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwx::Tm9uLXNlY3VyZWQgdGFncw=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCww::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCww::U2VjdXJlZCByYW5nZSBwYWlycw=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::Njc=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::OTI=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::OTA=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::ODg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NzA=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NzE=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTAy::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MTowMToweDA6MHg0MDA6Ym90aDoxOjA6MDoxLDA6MTA6MHg0MDA6MHg4MDA6Ym90aDoxOjA6MDox::U0xBVkVTX0lORk8="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MA==::RGVjb2RlciB0eXBl"
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs"
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs"
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs"
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MQ==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTAxOjk5KSByZXNwb25zZV9zdGF0dXMoOTg6OTcpIGNhY2hlKDk2OjkzKSBwcm90ZWN0aW9uKDkyOjkwKSB0aHJlYWRfaWQoODkpIGRlc3RfaWQoODgpIHNyY19pZCg4NykgcW9zKDg2KSBiZWdpbl9idXJzdCg4NSkgZGF0YV9zaWRlYmFuZCg4NCkgYWRkcl9zaWRlYmFuZCg4MykgYnVyc3RfdHlwZSg4Mjo4MSkgYnVyc3Rfc2l6ZSg4MDo3OCkgYnVyc3R3cmFwKDc3KSBieXRlX2NudCg3Njo3NCkgdHJhbnNfZXhjbHVzaXZlKDczKSB0cmFuc19sb2NrKDcyKSB0cmFuc19yZWFkKDcxKSB0cmFuc193cml0ZSg3MCkgdHJhbnNfcG9zdGVkKDY5KSB0cmFuc19jb21wcmVzc2VkX3JlYWQoNjgpIGFkZHIoNjc6MzYpIGJ5dGVlbigzNTozMikgZGF0YSgzMTowKQ==::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_NAME "YWx0ZXJhX2F2YWxvbl9zY19maWZv"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIFNpbmdsZSBDbG9jayBGSUZP"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1lNQk9MU19QRVJfQkVBVA==::MQ==::U3ltYm9scyBwZXIgYmVhdA=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_PARAMETER "QklUU19QRVJfU1lNQk9M::OA==::Qml0cyBwZXIgc3ltYm9s"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_PARAMETER "RklGT19ERVBUSA==::NjQ=::RklGTyBkZXB0aA=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9XSURUSA==::MA==::Q2hhbm5lbCB3aWR0aA=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_PARAMETER "RVJST1JfV0lEVEg=::MA==::RXJyb3Igd2lkdGg="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1BBQ0tFVFM=::MA==::VXNlIHBhY2tldHM="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0ZJTExfTEVWRUw=::MA==::VXNlIGZpbGwgbGV2ZWw="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU1QVFlfTEFURU5DWQ==::Mw==::TGF0ZW5jeQ=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX01FTU9SWV9CTE9DS1M=::MQ==::VXNlIG1lbW9yeSBibG9ja3M="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1NUT1JFX0ZPUldBUkQ=::MA==::VXNlIHN0b3JlIGFuZCBmb3J3YXJk"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0FMTU9TVF9GVUxMX0lG::MA==::VXNlIGFsbW9zdCBmdWxsIHN0YXR1cw=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0FMTU9TVF9FTVBUWV9JRg==::MA==::VXNlIGFsbW9zdCBlbXB0eSBzdGF0dXM="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYUExJQ0lUX01BWENIQU5ORUw=::ZmFsc2U=::RW5hYmxlIGV4cGxpY2l0IG1heENoYW5uZWw="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_PARAMETER "RVhQTElDSVRfTUFYQ0hBTk5FTA==::MA==::RXhwbGljaXQgbWF4Q2hhbm5lbA=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9zbGF2ZV9hZ2VudA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIE1NIFNsYXZlIEFnZW50"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjb21tYW5kIHBhY2tldHMgYW5kIGlzc3VlcyB0aGUgcmVzdWx0aW5nIHRyYW5zYWN0aW9ucyB0byB0aGUgQXZhbG9uIGludGVyZmFjZS4gUmVmZXIgdG8gdGhlIEF2YWxvbiBJbnRlcmZhY2UgU3BlY2lmaWNhdGlvbnMgKGh0dHA6Ly93d3cuYWx0ZXJhLmNvbS9saXRlcmF0dXJlL21hbnVhbC9tbmxfYXZhbG9uX3NwZWMucGRmKSBmb3IgZXhwbGFuYXRpb25zIG9mIHRoZSBidXJzdGluZyBwcm9wZXJ0aWVzLg=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0g=::MTAx::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0w=::OTk=::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19I::OTg=::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19M::OTc=::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfSA==::ODA=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfTA==::Nzg=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NzI=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JFR0lOX0JVUlNU::ODU=::UGFja2V0IGJlZ2luIGJ1cnN0IGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::OTI=::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::OTA=::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9I::Nzc=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9M::Nzc=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::NzY=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NzQ=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::Njc=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::Njg=::UGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1BPU1RFRA==::Njk=::UGFja2V0IHBvc3RlZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NzA=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NzE=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfSA==::MzE=::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfTA==::MA==::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::MzU=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::MzI=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9I::ODc=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9M::ODc=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::ODg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1NZTUJPTF9X::OA==::UGFja2V0IHN5bWJvbCB3aWR0aA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTAy::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZTX0JVUlNUQ09VTlRfU1lNQk9MUw==::MA==::YnVyc3Rjb3VudFN5bWJvbHM="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZTX0JVUlNUQ09VTlRfVw==::Mw==::YnVyc3Rjb3VudCB3aWR0aA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTAxOjk5KSByZXNwb25zZV9zdGF0dXMoOTg6OTcpIGNhY2hlKDk2OjkzKSBwcm90ZWN0aW9uKDkyOjkwKSB0aHJlYWRfaWQoODkpIGRlc3RfaWQoODgpIHNyY19pZCg4NykgcW9zKDg2KSBiZWdpbl9idXJzdCg4NSkgZGF0YV9zaWRlYmFuZCg4NCkgYWRkcl9zaWRlYmFuZCg4MykgYnVyc3RfdHlwZSg4Mjo4MSkgYnVyc3Rfc2l6ZSg4MDo3OCkgYnVyc3R3cmFwKDc3KSBieXRlX2NudCg3Njo3NCkgdHJhbnNfZXhjbHVzaXZlKDczKSB0cmFuc19sb2NrKDcyKSB0cmFuc19yZWFkKDcxKSB0cmFuc193cml0ZSg3MCkgdHJhbnNfcG9zdGVkKDY5KSB0cmFuc19jb21wcmVzc2VkX3JlYWQoNjgpIGFkZHIoNjc6MzYpIGJ5dGVlbigzNTozMikgZGF0YSgzMTowKQ==::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1VQUFJFU1NfMF9CWVRFRU5fQ01E::MA==::U3VwcHJlc3MgMC1ieXRlZW5hYmxlIHRyYW5zYWN0aW9ucw=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJFVkVOVF9GSUZPX09WRVJGTE9X::MQ==::UHJldmVudCBGSUZPIG92ZXJmbG93"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYX0JZVEVfQ05U::NA==::TWF4aW11bSBieXRlLWNvdW50IHZhbHVl"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYX0JVUlNUV1JBUA==::MQ==::TWF4aW11bSBidXJzdHdyYXAgdmFsdWU="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "SUQ=::MQ==::U2xhdmUgSUQ="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFQURSRVNQT05TRQ==::MA==::VXNlIHJlYWRyZXNwb25zZQ=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFUkVTUE9OU0U=::MA==::VXNlIHdyaXRlcmVzcG9uc2U="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "RUNDX0VOQUJMRQ==::MA==::RUNDX0VOQUJMRQ=="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9tYXN0ZXJfYWdlbnQ="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIE1NIE1hc3RlciBBZ2VudA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "VHJhbnNsYXRlcyBBdmFsb24tTU0gbWFzdGVyIHRyYW5zYWN0aW9ucyBpbnRvIFFzeXMgY29tbWFuZCBwYWNrZXRzIGFuZCB0cmFuc2xhdGVzIHRoZSBRc3lzIEF2YWxvbi1NTSBzbGF2ZSByZXNwb25zZSBwYWNrZXRzIGludG8gQXZhbG9uLU1NIHJlc3BvbnNlcy4gUmVmZXIgdG8gdGhlIEF2YWxvbiBJbnRlcmZhY2UgU3BlY2lmaWNhdGlvbnMgKGh0dHA6Ly93d3cuYWx0ZXJhLmNvbS9saXRlcmF0dXJlL21hbnVhbC9tbmxfYXZhbG9uX3NwZWMucGRmKSBmb3IgYW4gZXhwbGFuYXRpb24gb2YgYnVyc3RpbmcgYmVoYXZpb3Iu"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0g=::MTAx::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0w=::OTk=::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19I::OTg=::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19M::OTc=::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1FPU19I::ODY=::UGFja2V0IHFvcyBzaWRlYmFuZCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1FPU19M::ODY=::UGFja2V0IHFvcyBzaWRlYmFuZCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfU0lERUJBTkRfSA==::ODQ=::UGFja2V0IGRhdGEgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfU0lERUJBTkRfTA==::ODQ=::UGFja2V0IGRhdGEgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfU0lERUJBTkRfSA==::ODM=::UGFja2V0IGFkZHJlc3Mgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfU0lERUJBTkRfTA==::ODM=::UGFja2V0IGFkZHJlc3Mgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1RZUEVfSA==::ODI=::UGFja2V0IGJ1cnN0dHlwZSBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1RZUEVfTA==::ODE=::UGFja2V0IGJ1cnN0dHlwZSBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0NBQ0hFX0g=::OTY=::UGFja2V0IGNhY2hlIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0NBQ0hFX0w=::OTM=::UGFja2V0IGNhY2hlIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9I::ODk=::UGFja2V0IHRocmVhZCBpZCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9M::ODk=::UGFja2V0IHRocmVhZCBpZCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfSA==::ODA=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfTA==::Nzg=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0VYQ0xVU0lWRQ==::NzM=::UGFja2V0IGV4Y2x1c2l2ZSB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NzI=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JFR0lOX0JVUlNU::ODU=::UGFja2V0IGJlZ2luIGJ1cnN0IGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::OTI=::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::OTA=::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9I::Nzc=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9M::Nzc=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::NzY=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NzQ=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::Njc=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::Njg=::UGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1BPU1RFRA==::Njk=::UGFja2V0IHBvc3RlZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NzA=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NzE=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfSA==::MzE=::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfTA==::MA==::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::MzU=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::MzI=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9I::ODc=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9M::ODc=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::ODg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTAy::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9X::Mw==::QXZhbG9uLU1NIGJ1cnN0Y291bnQgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RCT1VOREFSSUVT::MA==::YnVyc3RPbkJ1cnN0Qm91bmRhcmllc09ubHk="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTAxOjk5KSByZXNwb25zZV9zdGF0dXMoOTg6OTcpIGNhY2hlKDk2OjkzKSBwcm90ZWN0aW9uKDkyOjkwKSB0aHJlYWRfaWQoODkpIGRlc3RfaWQoODgpIHNyY19pZCg4NykgcW9zKDg2KSBiZWdpbl9idXJzdCg4NSkgZGF0YV9zaWRlYmFuZCg4NCkgYWRkcl9zaWRlYmFuZCg4MykgYnVyc3RfdHlwZSg4Mjo4MSkgYnVyc3Rfc2l6ZSg4MDo3OCkgYnVyc3R3cmFwKDc3KSBieXRlX2NudCg3Njo3NCkgdHJhbnNfZXhjbHVzaXZlKDczKSB0cmFuc19sb2NrKDcyKSB0cmFuc19yZWFkKDcxKSB0cmFuc193cml0ZSg3MCkgdHJhbnNfcG9zdGVkKDY5KSB0cmFuc19jb21wcmVzc2VkX3JlYWQoNjgpIGFkZHIoNjc6MzYpIGJ5dGVlbigzNTozMikgZGF0YSgzMTowKQ==::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1VQUFJFU1NfMF9CWVRFRU5fUlNQ::MA==::U3VwcHJlc3MgMC1ieXRlZW5hYmxlIHJlc3BvbnNlcw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "SUQ=::MA==::TWFzdGVyIElE"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "QlVSU1RXUkFQX1ZBTFVF::MQ==::QnVyc3R3cmFwIHZhbHVl"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0FDSEVfVkFMVUU=::MA==::Q2FjaGUgdmFsdWU="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VDVVJFX0FDQ0VTU19CSVQ=::MQ==::U2VjdXJpdHkgYml0IHZhbHVl"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFQURSRVNQT05TRQ==::MA==::VXNlIHJlYWRyZXNwb25zZQ=="
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFUkVTUE9OU0U=::MA==::VXNlIHdyaXRlcmVzcG9uc2U="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9zbGF2ZV90cmFuc2xhdG9y"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIE1NIFNsYXZlIFRyYW5zbGF0b3I="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "Q29udmVydHMgdGhlIEF2YWxvbi1NTSBzbGF2ZSBpbnRlcmZhY2UgdG8gYSBzaW1wbGlmaWVkIHJlcHJlc2VudGF0aW9uIHRoYXQgdGhlIFFzeXMgbmV0d29yayB1c2VzLiBSZWZlciB0byB0aGUgQXZhbG9uIEludGVyZmFjZSBTcGVjaWZpY2F0aW9ucyAoaHR0cDovL3d3dy5hbHRlcmEuY29tL2xpdGVyYXR1cmUvbWFudWFsL21ubF9hdmFsb25fc3BlYy5wZGYpIGZvciBkZWZpbml0aW9ucyBvZiB0aGUgQXZhbG9uLU1NIHNpZ25hbHMgYW5kIGV4cGxhbmF0aW9ucyBvZiB0aGUgYnVyc3RpbmcgcHJvcGVydGllcyBhbmQgYWRkcmVzcyBhbGlnbm1lbnQu"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19X::MzI=::Q29tcG9uZW50IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9X::MzI=::Q29tcG9uZW50IERhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VUFWX0RBVEFfVw==::MzI=::TmV0d29yayBEYXRhIHdpZHRo"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9X::MQ==::Q29tcG9uZW50IGJ1cnN0Y291bnQgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQllURUVOQUJMRV9X::NA==::Q29tcG9uZW50IGJ5dGVlbmFibGUgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VUFWX0JZVEVFTkFCTEVfVw==::NA==::TmV0d29yayBieXRlZW5hYmxlIHdpZHRo"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NfVw==::MzI=::TmV0d29yayBhZGRyZXNzIHdpZHRo"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VUFWX0JVUlNUQ09VTlRfVw==::Mw==::TmV0d29yayBidXJzdGNvdW50IHdpZHRo"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUkVBRExBVEVOQ1k=::MA==::cmVhZExhdGVuY3k="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfU0VUVVBfV0FJVA==::MA==::c2V0dXBUaW1l"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfV1JJVEVfV0FJVA==::MA==::d3JpdGVXYWl0VGltZQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUkVBRF9XQUlU::MQ==::cmVhZFdhaXRUaW1l"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9IT0xE::MA==::SG9sZCB0aW1l"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfVElNSU5HX1VOSVRT::MQ==::VGltaW5nIHVuaXRz"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUREQVRB::MQ==::VXNlIHJlYWRkYXRh"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFREFUQQ==::MQ==::VXNlIHdyaXRlZGF0YQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUQ=::MQ==::VXNlIHJlYWQ="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRF::MQ==::VXNlIHdyaXRl"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0JFR0lOQlVSU1RUUkFOU0ZFUg==::MA==::VXNlIGJlZ2luYnVyc3R0cmFuc2Zlcg=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0JFR0lOVFJBTlNGRVI=::MA==::VXNlIGJlZ2ludHJhbnNmZXI="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0JZVEVFTkFCTEU=::MQ==::VXNlIGJ5dGVlbmFibGU="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0NISVBTRUxFQ1Q=::MA==::VXNlIGNoaXBzZWxlY3Q="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0FERFJFU1M=::MQ==::VXNlIGFkZHJlc3M="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0JVUlNUQ09VTlQ=::MQ==::VXNlIGJ1cnN0Y291bnQ="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUREQVRBVkFMSUQ=::MQ==::VXNlIHJlYWRkYXRhdmFsaWQ="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1dBSVRSRVFVRVNU::MQ==::VXNlIHdhaXRyZXF1ZXN0"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFQllURUVOQUJMRQ==::MA==::VXNlIHdyaXRlYnl0ZWVuYWJsZQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0xPQ0s=::MA==::VXNlIGxvY2s="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0FWX0NMS0VO::MA==::VXNlIGNvbXBvbmVudCBjbGtlbg=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1VBVl9DTEtFTg==::MA==::VXNlIG5ldHdvcmsgY2xrZW4="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX09VVFBVVEVOQUJMRQ==::MA==::VXNlIG91dHB1dGVuYWJsZQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0RFQlVHQUNDRVNT::MA==::VXNlIGRlYnVnYWNjZXNz"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFQURSRVNQT05TRQ==::MA==::VXNlIHJlYWRyZXNwb25zZQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFUkVTUE9OU0U=::MA==::VXNlIHdyaXRlcmVzcG9uc2U="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfU1lNQk9MU19QRVJfV09SRA==::NA==::U3ltYm9scyBwZXIgd29yZA=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19TWU1CT0xT::MQ==::QWRkcmVzcyBzeW1ib2xz"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9TWU1CT0xT::MA==::QnVyc3Rjb3VudCBzeW1ib2xz"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQ09OU1RBTlRfQlVSU1RfQkVIQVZJT1I=::MA==::Q29tcG9uZW50IGNvbnN0YW50QnVyc3RCZWhhdmlvcg=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VUFWX0NPTlNUQU5UX0JVUlNUX0JFSEFWSU9S::MA==::TmV0d29yayBjb25zdGFudEJ1cnN0QmVoYXZpb3I="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUkVRVUlSRV9VTkFMSUdORURfQUREUkVTU0VT::MA==::VW5hbGlnbmVkIGFkZHJlc3Nlcw=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfTUFYX1BFTkRJTkdfUkVBRF9UUkFOU0FDVElPTlM=::MQ==::bWF4UGVuZGluZ1JlYWRUcmFuc2FjdGlvbnM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfTUFYX1BFTkRJTkdfV1JJVEVfVFJBTlNBQ1RJT05T::MA==::bWF4UGVuZGluZ1dyaXRlVHJhbnNhY3Rpb25z"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RCT1VOREFSSUVT::MA==::YnVyc3RPbkJ1cnN0Qm91bmRhcmllc09ubHk="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfSU5URVJMRUFWRUJVUlNUUw==::MA==::aW50ZXJsZWF2ZUJ1cnN0cw=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQklUU19QRVJfU1lNQk9M::OA==::Qml0cy9zeW1ib2w="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfSVNCSUdFTkRJQU4=::MA==::aXNCaWdFbmRpYW4="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU0dST1VQ::MA==::Q29tcG9uZW50IGFkZHJlc3MgZ3JvdXA="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NHUk9VUA==::MA==::TmV0d29yayBhZGRyZXNzIGdyb3Vw"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUkVHSVNURVJPVVRHT0lOR1NJR05BTFM=::MA==::cmVnaXN0ZXJPdXRnb2luZ1NpZ25hbHM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUkVHSVNURVJJTkNPTUlOR1NJR05BTFM=::MA==::cmVnaXN0ZXJJbmNvbWluZ1NpZ25hbHM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQUxXQVlTQlVSU1RNQVhCVVJTVA==::MA==::QWx3YXlzIGJ1cnN0IG1heC1idXJzdA=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0hJUFNFTEVDVF9USFJPVUdIX1JFQURMQVRFTkNZ::MA==::Q2hpcHNlbGVjdCB0aHJvdWdoIHJlYWQgbGF0ZW5jeQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0xPQ0tfUkFURQ==::NjAwMDAwMDA=::Q0xPQ0tfUkFURQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUkVBRF9XQUlUX0NZQ0xFUw==::MQ==::QVZfUkVBRF9XQUlUX0NZQ0xFUw=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfV1JJVEVfV0FJVF9DWUNMRVM=::MA==::QVZfV1JJVEVfV0FJVF9DWUNMRVM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfU0VUVVBfV0FJVF9DWUNMRVM=::MA==::QVZfU0VUVVBfV0FJVF9DWUNMRVM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9IT0xEX0NZQ0xFUw==::MA==::QVZfREFUQV9IT0xEX0NZQ0xFUw=="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9tYXN0ZXJfdHJhbnNsYXRvcg=="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIE1NIE1hc3RlciBUcmFuc2xhdG9y"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "Q29udmVydHMgdGhlIEF2YWxvbi1NTSBtYXN0ZXIgaW50ZXJmYWNlIHRvIGEgc2ltcGxlciByZXByZXNlbnRhdGlvbiB0aGF0IHRoZSBRc3lzIG5ldHdvcmsgdXNlcy4gUmVmZXIgdG8gdGhlIEF2YWxvbiBJbnRlcmZhY2UgU3BlY2lmaWNhdGlvbnMgKGh0dHA6Ly93d3cuYWx0ZXJhLmNvbS9saXRlcmF0dXJlL21hbnVhbC9tbmxfYXZhbG9uX3NwZWMucGRmKSBmb3IgZGVmaW5pdGlvbnMgb2YgdGhlIEF2YWxvbi1NTSBzaWduYWxzIGFuZCBleHBsYW5hdGlvbnMgb2YgdGhlIGJ1cnN0aW5nIHByb3BlcnRpZXMu"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19X::MzI=::Q29tcG9uZW50IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9X::MzI=::Q29tcG9uZW50IERhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9X::MQ==::Q29tcG9uZW50IGJ1cnN0Y291bnQgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQllURUVOQUJMRV9X::NA==::Q29tcG9uZW50IGJ5dGVlbmFibGUgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NfVw==::MzI=::TmV0d29yayBhZGRyZXNzIHdpZHRo"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VUFWX0JVUlNUQ09VTlRfVw==::Mw==::TmV0d29yayBidXJzdGNvdW50IHdpZHRo"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUkVBRExBVEVOQ1k=::MA==::cmVhZExhdGVuY3k="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfV1JJVEVfV0FJVA==::MA==::d3JpdGVXYWl0VGltZQ=="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUkVBRF9XQUlU::MQ==::cmVhZFdhaXRUaW1l"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9IT0xE::MA==::SG9sZCB0aW1l"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfU0VUVVBfV0FJVA==::MA==::c2V0dXBUaW1l"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUREQVRB::MQ==::VXNlIHJlYWRkYXRh"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFREFUQQ==::MQ==::VXNlIHdyaXRlZGF0YQ=="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUQ=::MQ==::VXNlIHJlYWQ="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRF::MQ==::VXNlIHdyaXRl"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0JFR0lOQlVSU1RUUkFOU0ZFUg==::MA==::VXNlIGJlZ2luYnVyc3R0cmFuc2Zlcg=="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0JFR0lOVFJBTlNGRVI=::MA==::VXNlIGJlZ2ludHJhbnNmZXI="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0JZVEVFTkFCTEU=::MQ==::VXNlIGJ5dGVlbmFibGU="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0NISVBTRUxFQ1Q=::MA==::VXNlIGNoaXBzZWxlY3Q="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0FERFJFU1M=::MQ==::VXNlIGFkZHJlc3M="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0JVUlNUQ09VTlQ=::MA==::VXNlIGJ1cnN0Y291bnQ="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0RFQlVHQUNDRVNT::MA==::VXNlIGRlYnVnYWNjZXNz"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0NMS0VO::MA==::VXNlIG5ldHdvcmsgY2xrZW4="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUREQVRBVkFMSUQ=::MQ==::VXNlIHJlYWRkYXRhdmFsaWQ="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1dBSVRSRVFVRVNU::MQ==::VXNlIHdhaXRyZXF1ZXN0"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0xPQ0s=::MA==::VXNlIGxvY2s="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1JFQURSRVNQT05TRQ==::MA==::VXNlIHJlYWRyZXNwb25zZQ=="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFUkVTUE9OU0U=::MA==::VXNlIHdyaXRlcmVzcG9uc2U="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfU1lNQk9MU19QRVJfV09SRA==::NA==::U3ltYm9scyBwZXIgd29yZA=="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19TWU1CT0xT::MQ==::QWRkcmVzcyBzeW1ib2xz"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9TWU1CT0xT::MA==::QnVyc3Rjb3VudCBzeW1ib2xz"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQ09OU1RBTlRfQlVSU1RfQkVIQVZJT1I=::MA==::Q29tcG9uZW50IGNvbnN0YW50QnVyc3RCZWhhdmlvcg=="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VUFWX0NPTlNUQU5UX0JVUlNUX0JFSEFWSU9S::MA==::TmV0d29yayBjb25zdGFudEJ1cnN0QmVoYXZpb3I="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfTUFYX1BFTkRJTkdfUkVBRF9UUkFOU0FDVElPTlM=::NjQ=::bWF4UGVuZGluZ1JlYWRUcmFuc2FjdGlvbnM="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RCT1VOREFSSUVT::MA==::YnVyc3RPbkJ1cnN0Qm91bmRhcmllc09ubHk="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfSU5URVJMRUFWRUJVUlNUUw==::MA==::aW50ZXJsZWF2ZUJ1cnN0cw=="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQklUU19QRVJfU1lNQk9M::OA==::Qml0cy9zeW1ib2w="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfSVNCSUdFTkRJQU4=::MA==::aXNCaWdFbmRpYW4="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU0dST1VQ::MA==::Q29tcG9uZW50IGFkZHJlc3MgZ3JvdXA="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NHUk9VUA==::MA==::TmV0d29yayBhZGRyZXNzIGdyb3Vw"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUkVHSVNURVJPVVRHT0lOR1NJR05BTFM=::MA==::cmVnaXN0ZXJPdXRnb2luZ1NpZ25hbHM="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUkVHSVNURVJJTkNPTUlOR1NJR05BTFM=::MA==::cmVnaXN0ZXJJbmNvbWluZ1NpZ25hbHM="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfQUxXQVlTQlVSU1RNQVhCVVJTVA==::MA==::QWx3YXlzIGJ1cnN0IG1heC1idXJzdA=="
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1lOQ19SRVNFVA==::MA==::VXNlIHN5bmNocm9ub3VzIHJlc2V0cw=="
set_global_assignment -entity "ddr3_mm_interconnect_1" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19tbV9pbnRlcmNvbm5lY3RfMQ=="
set_global_assignment -entity "ddr3_mm_interconnect_1" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "TU0gSW50ZXJjb25uZWN0"
set_global_assignment -entity "ddr3_mm_interconnect_1" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_mm_interconnect_1" -library "ddr3" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "ddr3_mm_interconnect_1" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_mm_interconnect_1" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_mm_interconnect_1" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "TU0gSW50ZXJjb25uZWN0"
set_global_assignment -entity "ddr3_mm_interconnect_1" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "ddr3_mm_interconnect_1" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::NUNFQkEyRjE3QTc=::QXV0byBERVZJQ0U="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_NAME "YWx0ZXJhX21lbV9pZl9kbGxfY3ljbG9uZXY="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "RXh0ZXJuYWwgTWVtb3J5IERMTCBibG9jaw=="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "RXh0ZXJuYWwgTWVtb3J5IERMTCBibG9jaw=="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX0RFTEFZX0NUUkxfV0lEVEg=::Nw==::RExMX0RFTEFZX0NUUkxfV0lEVEg="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX09GRlNFVF9DVFJMX1dJRFRI::Ng==::RExMX09GRlNFVF9DVFJMX1dJRFRI"
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfQlVGRkVSX01PREU=::SElHSA==::REVMQVlfQlVGRkVSX01PREU="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfQ0hBSU5fTEVOR1RI::OA==::REVMQVlfQ0hBSU5fTEVOR1RI"
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::RExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ==::Q1lDTE9ORVY=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJFX1ZfU0VSSUVTX0ZBTUlMWQ==::ZmFsc2U=::UFJFX1ZfU0VSSUVTX0ZBTUlMWQ=="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA=="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA=="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ==::Q1lDTE9ORVY=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWV9QQVJBTQ==::Q3ljbG9uZSBW::REVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREU=::OA==::U3BlZWQgR3JhZGU="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNF::ZmFsc2U=::RW5naW5lZXJpbmcgU2FtcGxlIChFUykgRGV2aWNl"
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RElTQUJMRV9DSElMRF9NRVNTQUdJTkc=::dHJ1ZQ==::RElTQUJMRV9DSElMRF9NRVNTQUdJTkc="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEFSRF9QSFk=::dHJ1ZQ==::SEFSRF9QSFk="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEFSRF9FTUlG::dHJ1ZQ==::RW5hYmxlIEhhcmQgRXh0ZXJuYWwgTWVtb3J5IEludGVyZmFjZQ=="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQUw==::ZmFsc2U=::SEhQX0hQUw=="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19WRVJJRklDQVRJT04=::ZmFsc2U=::SEhQX0hQU19WRVJJRklDQVRJT04="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19TSU1VTEFUSU9O::ZmFsc2U=::SEhQX0hQU19TSU1VTEFUSU9O"
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SFBTX1BST1RPQ09M::REVGQVVMVA==::TWVtb3J5IFByb3RvY29s"
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1VUX05FV19GQU1JTFlfVElNSU5H::dHJ1ZQ==::Q1VUX05FV19GQU1JTFlfVElNSU5H"
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVR::MzAwLjA=::TWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q=::ZmFsc2U=::QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX1VTRV9EUl9DTEs=::ZmFsc2U=::RExMX1VTRV9EUl9DTEs="
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX0lOUFVUX0ZSRVFVRU5DWV9QU19TVFI=::MzMzMyBwcw==::RExMX0lOUFVUX0ZSRVFVRU5DWV9QU19TVFI="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_NAME "YWx0ZXJhX21lbV9pZl9vY3RfY3ljbG9uZXY="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "RXh0ZXJuYWwgTWVtb3J5IE9DVCBibG9jaw=="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "RXh0ZXJuYWwgTWVtb3J5IE9DVCBibG9jaw=="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "T0NUX1RFUk1fQ09OVFJPTF9XSURUSA==::MTY=::T0NUX1RFUk1fQ09OVFJPTF9XSURUSA=="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "T0NUX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::T0NUIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ==::Q1lDTE9ORVY=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJFX1ZfU0VSSUVTX0ZBTUlMWQ==::ZmFsc2U=::UFJFX1ZfU0VSSUVTX0ZBTUlMWQ=="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA=="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA=="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ==::Q1lDTE9ORVY=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWV9QQVJBTQ==::Q3ljbG9uZSBW::REVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREU=::OA==::U3BlZWQgR3JhZGU="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNF::ZmFsc2U=::RW5naW5lZXJpbmcgU2FtcGxlIChFUykgRGV2aWNl"
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RElTQUJMRV9DSElMRF9NRVNTQUdJTkc=::dHJ1ZQ==::RElTQUJMRV9DSElMRF9NRVNTQUdJTkc="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEFSRF9QSFk=::dHJ1ZQ==::SEFSRF9QSFk="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEFSRF9FTUlG::dHJ1ZQ==::RW5hYmxlIEhhcmQgRXh0ZXJuYWwgTWVtb3J5IEludGVyZmFjZQ=="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQUw==::ZmFsc2U=::SEhQX0hQUw=="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19WRVJJRklDQVRJT04=::ZmFsc2U=::SEhQX0hQU19WRVJJRklDQVRJT04="
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19TSU1VTEFUSU9O::ZmFsc2U=::SEhQX0hQU19TSU1VTEFUSU9O"
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SFBTX1BST1RPQ09M::REVGQVVMVA==::TWVtb3J5IFByb3RvY29s"
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1VUX05FV19GQU1JTFlfVElNSU5H::dHJ1ZQ==::Q1VUX05FV19GQU1JTFlfVElNSU5H"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_NAME "YWx0ZXJhX21lbV9pZl9oYXJkX21lbW9yeV9jb250cm9sbGVyX3RvcF9jeWNsb25ldg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "QWx0ZXJhIEREUjMgSGFyZCBNZW1vcnkgQ29udHJvbGxlcg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIEREUjMgSGFyZCBNZW1vcnkgQ29udHJvbGxlcg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfUkVBRF9UUkFOU0FDVElPTg==::NDg=::TUFYX1BFTkRJTkdfUkVBRF9UUkFOU0FDVElPTg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMA==::MDAwMTAwMDExMDAwMQ==::QUNfUk9NX01SMA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9NSVJS::MDAwMTAwMTAwMTAwMQ==::QUNfUk9NX01SMF9NSVJS"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVQ=::MDAwMTEwMDExMDAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg==::MDAwMTAxMTAwMTAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMQ==::MDAwMDAwMTAwMDAwMA==::QUNfUk9NX01SMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMV9NSVJS::MDAwMDAwMDEwMDAwMA==::QUNfUk9NX01SMV9NSVJS"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMg==::MDAwMDAwMDAwMTAwMA==::QUNfUk9NX01SMg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMl9NSVJS::MDAwMDAwMDAxMDAwMA==::QUNfUk9NX01SMl9NSVJS"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMw==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SM19NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SM19NSVJS"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ::dHJ1ZQ==::VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0JM::MQ==::TVIwX0JM"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0JU::MA==::TVIwX0JU"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0NBU19MQVRFTkNZ::Mw==::TVIwX0NBU19MQVRFTkNZ"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0RMTA==::MQ==::TVIwX0RMTA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX1dS::MQ==::TVIwX1dS"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX1BE::MA==::TVIwX1BE"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX0RMTA==::MA==::TVIxX0RMTA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX09EUw==::MA==::TVIxX09EUw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1JUVA==::Mg==::TVIxX1JUVA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX0FM::MA==::TVIxX0FM"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1dM::MA==::TVIxX1dM"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1REUVM=::MA==::TVIxX1REUVM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1FPRkY=::MA==::TVIxX1FPRkY="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX0RRUw==::MA==::TVIxX0RRUw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1JEUVM=::MA==::TVIxX1JEUVM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX0NXTA==::MQ==::TVIyX0NXTA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX0FTUg==::MA==::TVIyX0FTUg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX1NSVA==::MA==::TVIyX1NSVA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX1NSRg==::MA==::TVIyX1NSRg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX1JUVF9XUg==::MA==::TVIyX1JUVF9XUg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9SRg==::MA==::TVIzX01QUl9SRg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIzX01QUg==::MA==::TVIzX01QUg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9BQQ==::MA==::TVIzX01QUl9BQQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JFQURfRFFTX1dJRFRI::Mg==::TUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSSVRFX0RRU19XSURUSA==::Mg==::TUVNX0lGX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0NDX0RBVEFfV0lEVEg=::MQ==::U0NDX0RBVEFfV0lEVEg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEg=::MTM=::TUVNX0lGX0FERFJfV0lEVEg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEhfTUlO::MTM=::TUVNX0lGX0FERFJfV0lEVEhfTUlO"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JPV19BRERSX1dJRFRI::MTM=::TUVNX0lGX1JPV19BRERSX1dJRFRI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTF9BRERSX1dJRFRI::MTA=::TUVNX0lGX0NPTF9BRERSX1dJRFRI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1dJRFRI::Mg==::TUVNX0lGX0RNX1dJRFRI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9SQU5L::MQ==::TUVNX0lGX0NTX1BFUl9SQU5L"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX05VTUJFUl9PRl9SQU5LUw==::MQ==::TUVNX0lGX05VTUJFUl9PRl9SQU5LUw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9ESU1N::MQ==::TUVNX0lGX0NTX1BFUl9ESU1N"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTlRST0xfV0lEVEg=::MQ==::TUVNX0lGX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JVUlNUX0xFTkdUSA==::OA==::TUVNX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0xFVkVMSU5H::ZmFsc2U=::TUVNX0xFVkVMSU5H"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU19XSURUSA==::Mg==::TnVtYmVyIG9mIERRUyBncm91cHM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1dJRFRI::MQ==::TUVNX0lGX0NTX1dJRFRI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NISVBfQklUUw==::MQ==::TUVNX0lGX0NISVBfQklUUw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JBTktBRERSX1dJRFRI::Mw==::TUVNX0lGX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRX1dJRFRI::MTY=::TUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NLX1dJRFRI::MQ==::TUVNX0lGX0NLX1dJRFRI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19FTl9XSURUSA==::MQ==::TUVNX0lGX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19QQUlSX0NPVU5U::MQ==::TUVNX0lGX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX1dJRFRI::MQ==::REVWSUNFX1dJRFRI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfTlM=::MS41::TUVNX0NMS19NQVhfTlM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfUFM=::MTUwMC4w::TUVNX0NMS19NQVhfUFM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQw==::MTU=::TUVNX1RSQw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVM=::MTE=::TUVNX1RSQVM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0Q=::NQ==::TUVNX1RSQ0Q="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUA==::NQ==::TUVNX1RSUA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJ::MjM0MQ==::TUVNX1RSRUZJ"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkM=::MzQ=::TUVNX1RSRkM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0Q=::MQ==::Q0ZHX1RDQ0Q="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RXUg==::NQ==::TUVNX1RXUg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVc=::MTQ=::TUVNX1RGQVc="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkQ=::Mw==::TUVNX1RSUkQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFA=::Mw==::TUVNX1RSVFA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ==::NDUw::TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ==::MTAwMDAw::TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX09EVF9XSURUSA==::MQ==::TUVNX0lGX09EVF9XSURUSA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0xfSU5U::Ng==::TUVNX1dUQ0xfSU5U"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RkxZX0JZ::dHJ1ZQ==::RkxZX0JZ"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkRJTU0=::ZmFsc2U=::UkRJTU0="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFJESU1N::ZmFsc2U=::TFJESU1N"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkRJTU1fSU5U::MA==::UkRJTU1fSU5U"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFJESU1NX0lOVA==::MA==::TFJESU1NX0lOVA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0xSRElNTV9STQ==::MA==::TUVNX0lGX0xSRElNTV9STQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU::Mg==::TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU::Mw==::TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw==::MA==::Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw==::MA==::Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::Mg==::Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RZUEU=::RERSMw==::TUVNX1RZUEU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw==::MA==::TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0xfSU5U::MA==::TUVNX0FUQ0xfSU5U"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::TUVNX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0xSRElNTV9FTkFCTEVE::ZmFsc2U=::TUVNX0xSRElNTV9FTkFCTEVE"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1ZFTkRPUg==::TWljcm9u::TWVtb3J5IHZlbmRvcg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkRJTU1fQ09ORklH::MA==::RERSMyBSRElNTS9MUkRJTU0gQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFJESU1NX0VYVEVOREVEX0NPTkZJRw==::MHgw::TFJESU1NIEFkZGl0aW9uYWwgQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RElTQ1JFVEVfRkxZX0JZ::dHJ1ZQ==::Rmx5LWJ5IHRvcG9sb2d5"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX0RFUFRI::MQ==::TnVtYmVyIG9mIGNoaXAgc2VsZWN0cw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5H::MA==::TWlycm9yIEFkZHJlc3Npbmc6IDEgcGVyIGNoaXAgc2VsZWN0"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX01BWA==::NjY2LjY2Nw==::TWVtb3J5IGRldmljZSBzcGVlZCBncmFkZQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JPV19BRERSX1dJRFRI::MTM=::Um93IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NPTF9BRERSX1dJRFRI::MTA=::Q29sdW1uIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1dJRFRI::MTY=::VG90YWwgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1BFUl9EUVM=::OA==::RFEvRFFTIGdyb3VwIHNpemU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JBTktBRERSX1dJRFRI::Mw==::QmFuay1hZGRyZXNzIHdpZHRo"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1BJTlNfRU4=::dHJ1ZQ==::RW5hYmxlIERNIHBpbnM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU05fRU4=::dHJ1ZQ==::RFFTIyBFbmFibGU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9ESU1NUw==::MQ==::TnVtYmVyIG9mIHNsb3Rz"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfRElNTQ==::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBzbG90"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfREVWSUNF::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBkZXZpY2U="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JBTktfTVVMVElQTElDQVRJT05fRkFDVE9S::MQ==::KERlcHJlY2F0ZWQpIExSRElNTSBSYW5rIE11bHRpcGxpY2F0aW9uIEZhY3Rvcg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1dJRFRI::MQ==::TnVtYmVyIG9mIGNsb2Nrcw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NTX1dJRFRI::MQ==::TnVtYmVyIG9mIGNoaXAtc2VsZWN0cyBwZXIgZGV2aWNlL0RJTU0="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19FTl9XSURUSA==::MQ==::TnVtYmVyIG9mIGNsb2NrIGVuYWJsZXMgcGVyIGRldmljZS9ESU1N"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUxUTUVNUEhZX0NPTVBBVElCTEVfTU9ERQ==::ZmFsc2U=::QWxsb3cgdGhlIG1lbW9yeSBtb2RlbCB0byBiZSB1c2UgaW4gQUxUTUVNUEhZ"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TkVYVEdFTg==::dHJ1ZQ==::RW5hYmxlIDExLjAgZXh0cmEgY29udHJvbGxlciBmZWF0dXJlcw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JPQVJEX0JBU0VfREVMQVk=::MTA=::QmFzZSBib2FyZCBkZWxheSBmb3IgYm9hcmQgZGVsYXkgbW9kZWw="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c=::MA==::TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA==::ZmFsc2U=::TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1ZFUkJPU0U=::dHJ1ZQ==::RW5hYmxlIHZlcmJvc2UgbWVtb3J5IG1vZGVsIG91dHB1dA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UElOR1BPTkdQSFlfRU4=::ZmFsc2U=::RW5hYmxlIFBpbmcgUG9uZyBQSFk="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX0FD::ZmFsc2U=::RHVwbGljYXRlIEFkZHJlc3MgYW5kIENvbW1hbmQgU2lnbmFscw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9CVVJTVF9WQUxJREFUSU9O::ZmFsc2U=::RW5hYmxlIG1lbW9yeSBtb2RlbCByZWZyZXNoIGJ1cnN0IHZhbGlkYXRpb24="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVBfTU9ERV9FTg==::MA==::QVBfTU9ERV9FTg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVBfTU9ERQ==::ZmFsc2U=::QVBfTU9ERQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JM::T1RG::QnVyc3QgTGVuZ3Ro"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JU::U2VxdWVudGlhbA==::UmVhZCBCdXJzdCBUeXBl"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FTUg==::TWFudWFs::QXV0byBzZWxmcmVmcmVzaCBtZXRob2Q="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1NSVA==::Tm9ybWFs::U2VsZnJlZnJlc2ggdGVtcGVyYXR1cmU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1BE::RExMIG9mZg==::RExMIHByZWNoYXJnZSBwb3dlciBkb3du"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RSVl9TVFI=::UlpRLzY=::T3V0cHV0IGRyaXZlIHN0cmVuZ3RoIHNldHRpbmc="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RMTF9FTg==::dHJ1ZQ==::RW5hYmxlIHRoZSBETEwgaW4gbWVtb3J5IGRldmljZXM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9OT00=::UlpRLzI=::T0RUIFJ0dCBub21pbmFsIHZhbHVl"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9XUg==::RHluYW1pYyBPRFQgb2Zm::RHluYW1pYyBPRFQgKFJ0dF9XUikgdmFsdWU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0w=::Ng==::TWVtb3J5IHdyaXRlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0w=::RGlzYWJsZWQ=::TWVtb3J5IGFkZGl0aXZlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RDTA==::Nw==::TWVtb3J5IENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fTEVWRUxJTkdfTU9ERQ==::dHJ1ZQ==::QXV0b2xldmVsaW5nIHNlbGVjdGlvbg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1VTRVJfTEVWRUxJTkdfTU9ERQ==::TGV2ZWxpbmc=::TGV2ZWxpbmcgaW50ZXJmYWNlIG1vZGU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lOSVRfRU4=::ZmFsc2U=::RW5hYmxlcyBtZW1vcnkgY29udGVudCBpbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJUw==::MTkw::dElTIChiYXNlKQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJSA==::MTQw::dElIIChiYXNlKQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUw==::MzA=::dERTIChiYXNlKQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RESA==::NjU=::dERIIChiYXNlKQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNR::MTI1::dERRU1E="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSA==::MC4zOA==::dFFI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDSw==::MjU1::dERRU0NL"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RT::NDUw::dERRU0NLIERlbHRhIFNob3J0"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RN::OTAw::dERRU0NLIERlbHRhIE1lZGl1bQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RM::MTIwMA==::dERRU0NLIERlbHRhIExvbmc="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNT::MC4yNQ==::dERRU1M="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRU0g=::MC40::dFFTSA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU0g=::MC4y::dERTSA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU1M=::MC4y::dERTUw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX1VT::NTAw::dElOSVQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX0NL::MTUwMDAw::TUVNX1RJTklUX0NL"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1REUVNDSw==::MQ==::TUVNX1REUVNDSw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RNUkRfQ0s=::NA==::dE1SRA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVNfTlM=::MzYuMA==::dFJBUw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0RfTlM=::MTMuNQ==::dFJDRA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUF9OUw==::MTMuNQ==::dFJQ"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJX1VT::Ny44::dFJFRkk="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkNfTlM=::MTEwLjA=::dFJGQw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0RfTlM=::Mi41::dENDRA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RXUl9OUw==::MTUuMA==::dFdS"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RXVFI=::NQ==::dFdUUg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVdfTlM=::NDUuMA==::dEZBVw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkRfTlM=::Ny41::dFJSRA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFBfTlM=::Ny41::dFJUUA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0NTUl9QT1JU::ZmFsc2U=::RVhQT1JUX0NTUl9QT1JU"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1NSX0FERFJfV0lEVEg=::MTA=::Q1NSX0FERFJfV0lEVEg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1NSX0RBVEFfV0lEVEg=::OA==::Q1NSX0RBVEFfV0lEVEg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1NSX0JFX1dJRFRI::MQ==::Q1NSX0JFX1dJRFRI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0NTX1dJRFRI::MQ==::Q1RMX0NTX1dJRFRI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0JFX1dJRFRI::NA==::QVZMX0JFX1dJRFRI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX1NZTUJPTF9XSURUSA==::OA==::QVZMX1NZTUJPTF9XSURUSA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xT::NA==::QVZMX05VTV9TWU1CT0xT"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX1NJWkVfV0lEVEg=::Mw==::QVZMX1NJWkVfV0lEVEg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M=::ZmFsc2U=::SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19DU1JfRU5BQkxFRA==::ZmFsc2U=::Q1RMX0VDQ19DU1JfRU5BQkxFRA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFdJRFRIX1JBVElP::Mg==::RFdJRFRIX1JBVElP"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX09EVF9FTkFCTEVE::dHJ1ZQ==::Q1RMX09EVF9FTkFCTEVE"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX09VVFBVVF9SRUdE::ZmFsc2U=::Q1RMX09VVFBVVF9SRUdE"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19NVUxUSVBMRVNfNDBfNzI=::MQ==::Q1RMX0VDQ19NVUxUSVBMRVNfNDBfNzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19NVUxUSVBMRVNfMTZfMjRfNDBfNzI=::MQ==::Q1RMX0VDQ19NVUxUSVBMRVNfMTZfMjRfNDBfNzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::Q1RMX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TE9XX0xBVEVOQ1k=::ZmFsc2U=::TE9XX0xBVEVOQ1k="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09OVFJPTExFUl9UWVBF::bmV4dGdlbl92MTEw::Q09OVFJPTExFUl9UWVBF"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1RCUF9OVU0=::NA==::Q1RMX1RCUF9OVU0="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1VTUl9SRUZSRVNI::MA==::Q1RMX1VTUl9SRUZSRVNI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1NFTEZfUkVGUkVTSA==::MA==::Q1RMX1NFTEZfUkVGUkVTSA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1RZUEU=::Mg==::Q0ZHX1RZUEU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX0lOVEVSRkFDRV9XSURUSA==::MTY=::Q0ZHX0lOVEVSRkFDRV9XSURUSA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX0JVUlNUX0xFTkdUSA==::OA==::Q0ZHX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX0FERFJfT1JERVI=::MA==::Q0ZHX0FERFJfT1JERVI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1BETl9FWElUX0NZQ0xFUw==::MTA=::Q0ZHX1BETl9FWElUX0NZQ0xFUw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPV0VSX1NBVklOR19FWElUX0NZQ0xFUw==::NQ==::Q0ZHX1BPV0VSX1NBVklOR19FWElUX0NZQ0xFUw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX01FTV9DTEtfRU5UUllfQ1lDTEVT::MTA=::Q0ZHX01FTV9DTEtfRU5UUllfQ1lDTEVT"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1NFTEZfUkZTSF9FWElUX0NZQ0xFUw==::NTEy::Q0ZHX1NFTEZfUkZTSF9FWElUX0NZQ0xFUw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPUlRfV0lEVEhfV1JJVEVfT0RUX0NISVA=::MQ==::Q0ZHX1BPUlRfV0lEVEhfV1JJVEVfT0RUX0NISVA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPUlRfV0lEVEhfUkVBRF9PRFRfQ0hJUA==::MQ==::Q0ZHX1BPUlRfV0lEVEhfUkVBRF9PRFRfQ0hJUA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1dSSVRFX09EVF9DSElQ::MQ==::Q0ZHX1dSSVRFX09EVF9DSElQ"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1JFQURfT0RUX0NISVA=::MA==::Q0ZHX1JFQURfT0RUX0NISVA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TE9DQUxfQ1NfV0lEVEg=::MA==::TE9DQUxfQ1NfV0lEVEg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX0NMUl9JTlRS::MA==::Q0ZHX0NMUl9JTlRS"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX0VOQUJMRV9OT19ETQ==::MA==::Q0ZHX0VOQUJMRV9OT19ETQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FERF9MQVQ=::MA==::TUVNX0FERF9MQVQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFRfSU5U::ZmFsc2U=::Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFRfSU5U"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEVfSU5U::ZmFsc2U=::Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEVfSU5U"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX0VSUkNNRF9GSUZPX1JFRw==::MA==::Q0ZHX0VSUkNNRF9GSUZPX1JFRw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX0VDQ19ERUNPREVSX1JFRw==::MA==::Q0ZHX0VDQ19ERUNPREVSX1JFRw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9XREFUQV9QQVRIX0xBVEVOQ1k=::ZmFsc2U=::Q1RMX0VOQUJMRV9XREFUQV9QQVRIX0xBVEVOQ1k="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1NUQVJWRV9MSU1JVA==::MTA=::Q0ZHX1NUQVJWRV9MSU1JVA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fUERfQ1lDTEVT::MA==::TUVNX0FVVE9fUERfQ1lDTEVT"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX1BPUlQ=::UG9ydCAw::UG9ydA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8w::MzI=::QVZMX0RBVEFfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8w::MjU=::QVZMX0FERFJfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8w::MQ==::UFJJT1JJVFlfUE9SVF8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMA==::MA==::V0VJR0hUX1BPUlRfMA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzA=::Mw==::Q1BPUlRfVFlQRV9QT1JUXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMA==::NA==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMA==::MA==::TFNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMA==::MA==::TVNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMA==::MA==::TFNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMA==::MA==::TVNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8x::MQ==::QVZMX0RBVEFfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8x::MQ==::QVZMX0FERFJfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8x::MQ==::UFJJT1JJVFlfUE9SVF8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMQ==::MA==::V0VJR0hUX1BPUlRfMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzE=::MA==::Q1BPUlRfVFlQRV9QT1JUXzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMQ==::MQ==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMQ==::NQ==::TFNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMQ==::NQ==::TVNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMQ==::NQ==::TFNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMQ==::NQ==::TVNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8y::MQ==::QVZMX0RBVEFfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8y::MQ==::QVZMX0FERFJfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8y::MQ==::UFJJT1JJVFlfUE9SVF8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMg==::MA==::V0VJR0hUX1BPUlRfMg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzI=::MA==::Q1BPUlRfVFlQRV9QT1JUXzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMg==::MQ==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMg==::NQ==::TFNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMg==::NQ==::TVNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMg==::NQ==::TFNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMg==::NQ==::TVNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8z::MQ==::QVZMX0RBVEFfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8z::MQ==::QVZMX0FERFJfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8z::MQ==::UFJJT1JJVFlfUE9SVF8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMw==::MA==::V0VJR0hUX1BPUlRfMw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzM=::MA==::Q1BPUlRfVFlQRV9QT1JUXzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMw==::MQ==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMw==::NQ==::TFNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMw==::NQ==::TVNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMw==::NQ==::TFNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMw==::NQ==::TVNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF80::MQ==::QVZMX0RBVEFfV0lEVEhfUE9SVF80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF80::MQ==::QVZMX0FERFJfV0lEVEhfUE9SVF80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF80::MQ==::UFJJT1JJVFlfUE9SVF80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfNA==::MA==::V0VJR0hUX1BPUlRfNA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzQ=::MA==::Q1BPUlRfVFlQRV9QT1JUXzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfNA==::MQ==::QVZMX05VTV9TWU1CT0xTX1BPUlRfNA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfNA==::NQ==::TFNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfNA==::NQ==::TVNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfNA==::NQ==::TFNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfNA==::NQ==::TVNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF81::MQ==::QVZMX0RBVEFfV0lEVEhfUE9SVF81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF81::MQ==::QVZMX0FERFJfV0lEVEhfUE9SVF81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF81::MQ==::UFJJT1JJVFlfUE9SVF81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfNQ==::MA==::V0VJR0hUX1BPUlRfNQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzU=::MA==::Q1BPUlRfVFlQRV9QT1JUXzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfNQ==::MQ==::QVZMX05VTV9TWU1CT0xTX1BPUlRfNQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfNQ==::NQ==::TFNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfNQ==::NQ==::TVNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfNQ==::NQ==::TFNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfNQ==::NQ==::TVNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX0NPVU5URVJfT05FX1JFU0VU::RElTQUJMRUQ=::YXR0cl9jb3VudGVyX29uZV9yZXNldA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX0NPVU5URVJfWkVST19SRVNFVA==::RElTQUJMRUQ=::YXR0cl9jb3VudGVyX3plcm9fcmVzZXQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX1NUQVRJQ19DT05GSUdfVkFMSUQ=::RElTQUJMRUQ=::YXR0cl9zdGF0aWNfY29uZmlnX3ZhbGlk"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMg==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMw==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfNA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfNQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DQUxfUkVR::RElTQUJMRUQ=::Y2FsX3JlcQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfQlVSU1RfTEVOR1RI::QkxfOA==::Y2ZnX2J1cnN0X2xlbmd0aA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfSU5URVJGQUNFX1dJRFRI::RFdJRFRIXzE2::Y2ZnX2ludGVyZmFjZV93aWR0aA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfU0VMRl9SRlNIX0VYSVRfQ1lDTEVT::U0VMRl9SRlNIX0VYSVRfQ1lDTEVTXzUxMg==::Y2ZnX3NlbGZfcmZzaF9leGl0X2N5Y2xlcw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfU1RBUlZFX0xJTUlU::U1RBUlZFX0xJTUlUXzQ=::Y2ZnX3N0YXJ2ZV9saW1pdA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfVFlQRQ==::RERSMw==::Y2ZnX3R5cGU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMA==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMQ==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMg==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMw==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfNA==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfNQ==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTFJfSU5UUg==::Tk9fQ0xSX0lOVFI=::Y2xyX2ludHI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMA==::VFJVRQ==::Y21kX3BvcnRfaW5fdXNlXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMg==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMw==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfNA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfNQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQwX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3JmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfVFlQRQ==::QklfRElSRUNUSU9O::Y3BvcnQwX3R5cGU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3dmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQxX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3JmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQxX3R5cGU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3dmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQyX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3JmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQyX3R5cGU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3dmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQzX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3JmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQzX3R5cGU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3dmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQ0X3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3JmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ0X3R5cGU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3dmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQ1X3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3JmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ1X3R5cGU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3dmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfQUREUl9PUkRFUg==::Q0hJUF9ST1dfQkFOS19DT0w=::Y3RsX2FkZHJfb3JkZXI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfRUNDX0VOQUJMRUQ=::Q1RMX0VDQ19ESVNBQkxFRA==::Y3RsX2VjY19lbmFibGVk"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfRUNDX1JNV19FTkFCTEVE::Q1RMX0VDQ19STVdfRElTQUJMRUQ=::Y3RsX2VjY19ybXdfZW5hYmxlZA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfUkVHRElNTV9FTkFCTEVE::UkVHRElNTV9ESVNBQkxFRA==::Y3RsX3JlZ2RpbW1fZW5hYmxlZA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfVVNSX1JFRlJFU0g=::Q1RMX1VTUl9SRUZSRVNIX0RJU0FCTEVE::Y3RsX3Vzcl9yZWZyZXNo"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9DVFJMX1dJRFRI::REFUQV9XSURUSF8zMl9CSVQ=::Y3RybF93aWR0aA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9ERUxBWV9CT05ESU5H::Qk9ORElOR19MQVRFTkNZXzA=::ZGVsYXlfYm9uZGluZw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9ERlhfQllQQVNTX0VOQUJMRQ==::REZYX0JZUEFTU19ESVNBQkxFRA==::ZGZ4X2J5cGFzc19lbmFibGU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9ESVNBQkxFX01FUkdJTkc=::TUVSR0lOR19FTkFCTEVE::ZGlzYWJsZV9tZXJnaW5n"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FQ0NfRFFfV0lEVEg=::RUNDX0RRX1dJRFRIXzA=::ZWNjX2RxX3dpZHRo"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQVRQRw==::RElTQUJMRUQ=::ZW5hYmxlX2F0cGc="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18w::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18x::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18y::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18z::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR180::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR181::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR19XUkFQQkFDSw==::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfd3JhcGJhY2s="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRFFTX1RSQUNLSU5H::RElTQUJMRUQ=::ZW5hYmxlX2Rxc190cmFja2luZw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRUNDX0NPREVfT1ZFUldSSVRFUw==::RElTQUJMRUQ=::ZW5hYmxlX2VjY19jb2RlX292ZXJ3cml0ZXM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRkFTVF9FWElUX1BQRA==::RElTQUJMRUQ=::ZW5hYmxlX2Zhc3RfZXhpdF9wcGQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfSU5UUg==::RElTQUJMRUQ=::ZW5hYmxlX2ludHI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfTk9fRE0=::RElTQUJMRUQ=::ZW5hYmxlX25vX2Rt"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfUElQRUxJTkVHTE9CQUw=::RElTQUJMRUQ=::ZW5hYmxlX3BpcGVsaW5lZ2xvYmFs"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9HQU5HRURfQVJG::RElTQUJMRUQ=::Z2FuZ2VkX2FyZg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9HRU5fREJF::R0VOX0RCRV9ESVNBQkxFRA==::Z2VuX2RiZQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9HRU5fU0JF::R0VOX1NCRV9ESVNBQkxFRA==::Z2VuX3NiZQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9JTkNfU1lOQw==::RklGT19TRVRfMg==::aW5jX3N5bmM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9MT0NBTF9JRl9DU19XSURUSA==::QUREUl9XSURUSF8w::bG9jYWxfaWZfY3Nfd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX0NPUlJfRFJPUFBFRF9JTlRS::RElTQUJMRUQ=::bWFza19jb3JyX2Ryb3BwZWRfaW50cg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX0RCRV9JTlRS::RElTQUJMRUQ=::bWFza19kYmVfaW50cg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX1NCRV9JTlRS::RElTQUJMRUQ=::bWFza19zYmVfaW50cg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQUw=::QUxfMA==::bWVtX2lmX2Fs"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQkFOS0FERFJfV0lEVEg=::QUREUl9XSURUSF8z::bWVtX2lmX2JhbmthZGRyX3dpZHRo"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQlVSU1RMRU5HVEg=::TUVNX0lGX0JVUlNUTEVOR1RIXzg=::bWVtX2lmX2J1cnN0bGVuZ3Ro"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ09MQUREUl9XSURUSA==::QUREUl9XSURUSF8xMA==::bWVtX2lmX2NvbGFkZHJfd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ1NfUEVSX1JBTks=::TUVNX0lGX0NTX1BFUl9SQU5LXzE=::bWVtX2lmX2NzX3Blcl9yYW5r"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ1NfV0lEVEg=::TUVNX0lGX0NTX1dJRFRIXzE=::bWVtX2lmX2NzX3dpZHRo"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFFfUEVSX0NISVA=::TUVNX0lGX0RRX1BFUl9DSElQXzg=::bWVtX2lmX2RxX3Blcl9jaGlw"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFFTX1dJRFRI::RFFTX1dJRFRIXzI=::bWVtX2lmX2Rxc193aWR0aA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFdJRFRI::TUVNX0lGX0RXSURUSF8xNg==::bWVtX2lmX2R3aWR0aA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfTUVNVFlQRQ==::RERSM19TRFJBTQ==::bWVtX2lmX21lbXR5cGU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfUk9XQUREUl9XSURUSA==::QUREUl9XSURUSF8xMw==::bWVtX2lmX3Jvd2FkZHJfd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfU1BFRURCSU4=::RERSM18xNjAwXzhfOF84::bWVtX2lmX3NwZWVkYmlu"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENDRA==::VENDRF80::bWVtX2lmX3RjY2Q="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENM::VENMXzc=::bWVtX2lmX3RjbA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENXTA==::VENXTF82::bWVtX2lmX3Rjd2w="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVEZBVw==::VEZBV18xNA==::bWVtX2lmX3RmYXc="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVE1SRA==::VE1SRF80::bWVtX2lmX3RtcmQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJBUw==::VFJBU18xMQ==::bWVtX2lmX3RyYXM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJD::VFJDXzE1::bWVtX2lmX3RyYw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJDRA==::VFJDRF81::bWVtX2lmX3RyY2Q="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJQ::VFJQXzU=::bWVtX2lmX3RycA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJSRA==::VFJSRF8z::bWVtX2lmX3RycmQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJUUA==::VFJUUF8z::bWVtX2lmX3RydHA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFdS::VFdSXzU=::bWVtX2lmX3R3cg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFdUUg==::VFdUUl81::bWVtX2lmX3R3dHI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9NTVJfQ0ZHX01FTV9CTA==::TVBfQkxfOA==::bW1yX2NmZ19tZW1fYmw="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9PVVRQVVRfUkVHRA==::RElTQUJMRUQ=::b3V0cHV0X3JlZ2Q="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QRE5fRVhJVF9DWUNMRVM=::U0xPV19FWElU::cGRuX2V4aXRfY3ljbGVz"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMF9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDBfd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMV9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDFfd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMl9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDJfd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUM19XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDNfd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUNF9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDRfd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUNV9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDVfd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMF80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMF81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMV80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMV81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMl80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMl81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfM18w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfM18x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfM18y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfM18z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfM180"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfM181"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNF80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNF81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNV80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNV81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNl80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNl81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfN18w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfN18x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfN18y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfN18z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfN180"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfN181"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMg==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMw==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMA==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMQ==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMg==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMw==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNA==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNQ==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMA==::RFdJRFRIXzMy::cmRfZHdpZHRoXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMg==::RFdJRFRIXzA=::cmRfZHdpZHRoXzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMw==::RFdJRFRIXzA=::cmRfZHdpZHRoXzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfNA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfNQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8w::VFJVRQ==::cmRfZmlmb19pbl91c2VfMA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8x::RkFMU0U=::cmRfZmlmb19pbl91c2VfMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8y::RkFMU0U=::cmRfZmlmb19pbl91c2VfMg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8z::RkFMU0U=::cmRfZmlmb19pbl91c2VfMw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMA==::VVNFXzA=::cmRfcG9ydF9pbmZvXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMg==::VVNFX05P::cmRfcG9ydF9pbmZvXzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMw==::VVNFX05P::cmRfcG9ydF9pbmZvXzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fNA==::VVNFX05P::cmRfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fNQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRUFEX09EVF9DSElQ::T0RUX0RJU0FCTEVE::cmVhZF9vZHRfY2hpcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRU9SREVSX0RBVEE=::Tk9fREFUQV9SRU9SREVSSU5H::cmVvcmRlcl9kYXRh"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMA==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMQ==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMg==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMw==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzA=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzE=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzI=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzM=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzQ=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzU=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMA==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMQ==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMg==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMw==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfNA==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfNQ==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9URVNUX01PREU=::Tk9STUFMX01PREU=::dGVzdF9tb2Rl"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMA==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMQ==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMg==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMw==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfNA==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfNQ==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMA==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMQ==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMg==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMw==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfNA==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfNQ==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzA=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzE=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzI=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzM=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX0VDQ19FTg==::RElTQUJMRQ==::dXNlcl9lY2NfZW4="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzA=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzE=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzI=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzM=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzQ=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzU=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzBfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8wX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzFfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8xX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzJfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8yX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzNfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8zX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMA==::RFdJRFRIXzMy::d3JfZHdpZHRoXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMg==::RFdJRFRIXzA=::d3JfZHdpZHRoXzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMw==::RFdJRFRIXzA=::d3JfZHdpZHRoXzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfNA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfNQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8w::VFJVRQ==::d3JfZmlmb19pbl91c2VfMA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8x::RkFMU0U=::d3JfZmlmb19pbl91c2VfMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8y::RkFMU0U=::d3JfZmlmb19pbl91c2VfMg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8z::RkFMU0U=::d3JfZmlmb19pbl91c2VfMw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMA==::VVNFXzA=::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMg==::VVNFX05P::d3JfcG9ydF9pbmZvXzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMw==::VVNFX05P::d3JfcG9ydF9pbmZvXzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fNA==::VVNFX05P::d3JfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fNQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9XUklURV9PRFRfQ0hJUA==::V1JJVEVfQ0hJUDBfT0RUMF9DSElQMQ==::d3JpdGVfb2R0X2NoaXA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fQVVUT19QRF9DWUNMRVM=::MA==::bWVtX2F1dG9fcGRfY3ljbGVz"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMA==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMQ==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMg==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMw==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfNA==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfNQ==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19BQ1Q=::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fYWN0"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19BQ1RfRElGRl9CQU5L::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fYWN0X2RpZmZfYmFuaw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19QQ0g=::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fcGNo"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19SRFdS::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fcmR3cg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FSRl9QRVJJT0Q=::MA==::ZXh0cmFfY3RsX2Nsa19hcmZfcGVyaW9k"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FSRl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19hcmZfdG9fdmFsaWQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0ZPVVJfQUNUX1RPX0FDVA==::MA==::ZXh0cmFfY3RsX2Nsa19mb3VyX2FjdF90b19hY3Q="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BDSF9BTExfVE9fVkFMSUQ=::MA==::ZXh0cmFfY3RsX2Nsa19wY2hfYWxsX3RvX3ZhbGlk"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BDSF9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19wY2hfdG9fdmFsaWQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BETl9QRVJJT0Q=::MA==::ZXh0cmFfY3RsX2Nsa19wZG5fcGVyaW9k"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BETl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19wZG5fdG9fdmFsaWQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX0FQX1RPX1ZBTElE::MA==::ZXh0cmFfY3RsX2Nsa19yZF9hcF90b192YWxpZA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1BDSA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19wY2g="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1JE::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19yZA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1JEX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19yZF9kaWZmX2NoaXA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dS::Mg==::ZXh0cmFfY3RsX2Nsa19yZF90b193cg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dSX0JD::Mg==::ZXh0cmFfY3RsX2Nsa19yZF90b193cl9iYw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dSX0RJRkZfQ0hJUA==::Mg==::ZXh0cmFfY3RsX2Nsa19yZF90b193cl9kaWZmX2NoaXA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1NSRl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19zcmZfdG9fdmFsaWQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1NSRl9UT19aUV9DQUw=::MA==::ZXh0cmFfY3RsX2Nsa19zcmZfdG9fenFfY2Fs"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX0FQX1RPX1ZBTElE::MA==::ZXh0cmFfY3RsX2Nsa193cl9hcF90b192YWxpZA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1BDSA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19wY2g="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JE::Mw==::ZXh0cmFfY3RsX2Nsa193cl90b19yZA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JEX0JD::Mw==::ZXh0cmFfY3RsX2Nsa193cl90b19yZF9iYw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JEX0RJRkZfQ0hJUA==::Mw==::ZXh0cmFfY3RsX2Nsa193cl90b19yZF9kaWZmX2NoaXA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1dS::MA==::ZXh0cmFfY3RsX2Nsa193cl90b193cg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1dSX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b193cl9kaWZmX2NoaXA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fSUZfVFJFRkk=::MjM0MQ==::bWVtX2lmX3RyZWZp"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fSUZfVFJGQw==::MzQ=::bWVtX2lmX3RyZmM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8w::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8x::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8y::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8z::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV80::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV81::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV82::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV83::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMA==::MA==::c3VtX3d0X3ByaW9yaXR5XzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMg==::MA==::c3VtX3d0X3ByaW9yaXR5XzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMw==::MA==::c3VtX3d0X3ByaW9yaXR5XzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNA==::MA==::c3VtX3d0X3ByaW9yaXR5XzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNg==::MA==::c3VtX3d0X3ByaW9yaXR5XzY="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNw==::MA==::c3VtX3d0X3ByaW9yaXR5Xzc="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfT05FX01BU0s=::MA==::YXR0cl9jb3VudGVyX29uZV9tYXNr"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfT05FX01BVENI::MA==::YXR0cl9jb3VudGVyX29uZV9tYXRjaA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfWkVST19NQVNL::MA==::YXR0cl9jb3VudGVyX3plcm9fbWFzaw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfWkVST19NQVRDSA==::MA==::YXR0cl9jb3VudGVyX3plcm9fbWF0Y2g="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0RFQlVHX1NFTEVDVF9CWVRF::MA==::YXR0cl9kZWJ1Z19zZWxlY3RfYnl0ZQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19QT1dFUl9TQVZJTkdfRVhJVF9DWUNMRVM=::NQ==::cG93ZXJfc2F2aW5nX2V4aXRfY3ljbGVz"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fQ0xLX0VOVFJZX0NZQ0xFUw==::MTA=::bWVtX2Nsa19lbnRyeV9jeWNsZXM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQlVSU1RfSU5URVJSVVBU::RElTQUJMRUQ=::ZW5hYmxlX2J1cnN0X2ludGVycnVwdA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQlVSU1RfVEVSTUlOQVRF::RElTQUJMRUQ=::ZW5hYmxlX2J1cnN0X3Rlcm1pbmF0ZQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8wX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::MA==::YXZfcG9ydF8wX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8wX0NPTk5FQ1RfVE9fQVZfUE9SVA==::MA==::Y3ZfcG9ydF8wX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8w::MzI=::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8w::MjU=::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzA=::Mw==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMA==::NA==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMA==::MA==::Q1ZfTFNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMA==::MA==::Q1ZfTVNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMA==::MA==::Q1ZfTFNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMA==::MA==::Q1ZfTVNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMA==::VFJVRQ==::Y21kX3BvcnRfaW5fdXNlXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3JmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfVFlQRQ==::QklfRElSRUNUSU9O::Y3BvcnQwX3R5cGU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3dmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18w::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMF9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDBfd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfM18w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfN18w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMA==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMA==::RFdJRFRIXzMy::cmRfZHdpZHRoXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMA==::VVNFXzA=::cmRfcG9ydF9pbmZvXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzA=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzA=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8w"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMA==::RFdJRFRIXzMy::d3JfZHdpZHRoXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMA==::VVNFXzA=::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzA=::Mw==::VEdfVEVNUF9QT1JUXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8xX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::MQ==::YXZfcG9ydF8xX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8xX0NPTk5FQ1RfVE9fQVZfUE9SVA==::MQ==::Y3ZfcG9ydF8xX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8x::MQ==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8x::MQ==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzE=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMQ==::MQ==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3JmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQxX3R5cGU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3dmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18x::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMV9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDFfd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfM18x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfN18x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMQ==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzE=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzE=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8x"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzE=::MA==::VEdfVEVNUF9QT1JUXzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8yX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::Mg==::YXZfcG9ydF8yX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8yX0NPTk5FQ1RfVE9fQVZfUE9SVA==::Mg==::Y3ZfcG9ydF8yX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8y::MQ==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8y::MQ==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzI=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMg==::MQ==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMg==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMg==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMg==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMg==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMg==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMg==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3JmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQyX3R5cGU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3dmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18y::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMl9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDJfd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfM18y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfN18y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMg==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMg==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMg==::RFdJRFRIXzA=::cmRfZHdpZHRoXzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMg==::VVNFX05P::cmRfcG9ydF9pbmZvXzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzI=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzI=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMg==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMg==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzI=::MA==::VEdfVEVNUF9QT1JUXzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8zX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::Mw==::YXZfcG9ydF8zX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8zX0NPTk5FQ1RfVE9fQVZfUE9SVA==::Mw==::Y3ZfcG9ydF8zX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8z::MQ==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8z::MQ==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzM=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMw==::MQ==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMw==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMw==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMw==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMw==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMw==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMw==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3JmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQzX3R5cGU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3dmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18z::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUM19XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDNfd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfM18z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfN18z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMw==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMw==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMw==::RFdJRFRIXzA=::cmRfZHdpZHRoXzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMw==::VVNFX05P::cmRfcG9ydF9pbmZvXzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzM=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzM=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8z"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMw==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMw==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzM=::MA==::VEdfVEVNUF9QT1JUXzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF80X0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::NA==::YXZfcG9ydF80X2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF80X0NPTk5FQ1RfVE9fQVZfUE9SVA==::NA==::Y3ZfcG9ydF80X2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF80::MQ==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF80::MQ==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzQ=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNA==::MQ==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfNA==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfNA==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfNA==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfNA==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfNA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfNA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3JmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ0X3R5cGU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3dmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR180::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUNF9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDRfd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMF80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMV80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMl80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfM180"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNF80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNV80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNl80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfN180"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNA==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfNA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fNA==::VVNFX05P::cmRfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzQ=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzQ=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV80"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfNA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fNA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzQ=::MA==::VEdfVEVNUF9QT1JUXzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF81X0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::NQ==::YXZfcG9ydF81X2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF81X0NPTk5FQ1RfVE9fQVZfUE9SVA==::NQ==::Y3ZfcG9ydF81X2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF81::MQ==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF81::MQ==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzU=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNQ==::MQ==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfNQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfNQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3JmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ1X3R5cGU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3dmaWZvX21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR181::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUNV9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDVfd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMF81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMV81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMl81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfM181"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNF81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNV81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNl81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfN181"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNQ==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfNQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fNQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzU=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzU=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV81"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfNQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fNQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzU=::MA==::VEdfVEVNUF9QT1JUXzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8w::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMA==::MA==::c3VtX3d0X3ByaW9yaXR5XzA="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8x::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzE="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8y::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMg==::MA==::c3VtX3d0X3ByaW9yaXR5XzI="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8z::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMw==::MA==::c3VtX3d0X3ByaW9yaXR5XzM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV80::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNA==::MA==::c3VtX3d0X3ByaW9yaXR5XzQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV81::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV82::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNg==::MA==::c3VtX3d0X3ByaW9yaXR5XzY="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV83::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNw==::MA==::c3VtX3d0X3ByaW9yaXR5Xzc="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09OVElOVUVfQUZURVJfQ0FMX0ZBSUw=::ZmFsc2U=::Q09OVElOVUVfQUZURVJfQ0FMX0ZBSUw="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYMTBfQ0ZH::ZmFsc2U=::TUFYMTBfQ0ZH"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UE9XRVJfT0ZfVFdPX0JVUw==::ZmFsc2U=::R2VuZXJhdGUgcG93ZXItb2YtMiBkYXRhIGJ1cyB3aWR0aHMgZm9yIFFzeXMgb3IgU09QQyBCdWlsZGVy"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "U09QQ19DT01QQVRfUkVTRVQ=::ZmFsc2U=::R2VuZXJhdGUgU09QQyBCdWlsZGVyIGNvbXBhdGlibGUgcmVzZXRz"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX01BWF9TSVpF::NA==::TWF4aW11bSBBdmFsb24tTU0gYnVyc3QgbGVuZ3Ro"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QllURV9FTkFCTEU=::dHJ1ZQ==::RW5hYmxlIEF2YWxvbi1NTSBieXRlLWVuYWJsZSBzaWduYWw="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NUUkxfQVZBTE9OX0lOVEVSRkFDRQ==::dHJ1ZQ==::RW5hYmxlIEF2YWxvbiBpbnRlcmZhY2U="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0RFRVBfUE9XRVJETl9FTg==::ZmFsc2U=::RW5hYmxlIERlZXAgUG93ZXItRG93biBDb250cm9scw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1NFTEZfUkVGUkVTSF9FTg==::ZmFsc2U=::RW5hYmxlIFNlbGYtUmVmcmVzaCBDb250cm9scw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19QT1dFUkROX0VO::ZmFsc2U=::RW5hYmxlIEF1dG8gUG93ZXItRG93bg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1VTUl9SRUZSRVNIX0VO::ZmFsc2U=::RW5hYmxlIFVzZXIgQXV0by1SZWZyZXNoIENvbnRyb2xz"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0FVVE9QQ0hfRU4=::ZmFsc2U=::RW5hYmxlIEF1dG8tUHJlY2hhcmdlIENvbnRyb2w="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1pRQ0FMX0VO::ZmFsc2U=::RW5hYmxlIFpRIENhbGlicmF0aW9uIENvbnRyb2w="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUREUl9PUkRFUg==::MA==::TG9jYWwtdG8tTWVtb3J5IEFkZHJlc3MgTWFwcGluZw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09OVFJPTExFUl9MQVRFTkNZ::NQ==::UmVkdWNlIENvbnRyb2xsZXIgTGF0ZW5jeSBCeQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1JFT1JERVJfREFUQQ==::ZmFsc2U=::RW5hYmxlIFJlb3JkZXJpbmc="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9FTkFCTEVE::dHJ1ZQ==::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9DT05ORUNUSU9O::SU5URVJOQUxfSlRBRw==::Q1NSIHBvcnQgaG9zdCBpbnRlcmZhY2U="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19FTkFCTEVE::ZmFsc2U=::RW5hYmxlIEVycm9yIERldGVjdGlvbiBhbmQgQ29ycmVjdGlvbiBMb2dpYw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVVMVElDQVNUX0VO::ZmFsc2U=::RW5hYmxlIE11bHRpLWNhc3QgV3JpdGUgQ29udHJvbA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0RZTkFNSUNfQkFOS19BTExPQ0FUSU9O::ZmFsc2U=::RW5hYmxlIHJlZHVjZWQgYmFuayB0cmFja2luZyBmb3IgYXJlYSBvcHRpbWl6YXRpb24="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVCVUdfTU9ERQ==::ZmFsc2U=::RW5hYmxlIGludGVybmFsIGRlYnVnIHBhcmFtZXRlcg=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JVUlNUX01FUkdF::ZmFsc2U=::RW5hYmxlIGJ1cnN0IG1lcmdpbmc="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFQ=::ZmFsc2U=::RW5hYmxlIGJ1cnN0IGludGVycnVwdA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEU=::ZmFsc2U=::RW5hYmxlIGJ1cnN0IHRlcm1pbmF0ZQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TE9DQUxfSURfV0lEVEg=::OA==::TG9jYWwgSUQgd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkRCVUZGRVJfQUREUl9XSURUSA==::OA==::UmVhZCBidWZmZXIgYWRkcmVzcyB3aWR0aA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "V1JCVUZGRVJfQUREUl9XSURUSA==::Ng==::V3JpdGUgYnVmZmVyIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfV1JfQ01E::MTY=::TWF4IHBlbmRpbmcgd3JpdGUgY29tbWFuZHM="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfUkRfQ01E::MzI=::TWF4IHBlbmRpbmcgcmVhZCBjb21tYW5kcw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX01NX0FEQVBUT1I=::dHJ1ZQ==::VXNlIEF2YWxvbiBNTSBBZGFwdG9y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0FYSV9BREFQVE9S::ZmFsc2U=::VXNlIEFYSSBBZGFwdG9y"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SENYX0NPTVBBVF9NT0RF::ZmFsc2U=::SGFyZENvcHkgQ29tcGF0aWJpbGl0eSBNb2Rl"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0NNRF9RVUVVRV9ERVBUSA==::OA==::Q1RMX0NNRF9RVUVVRV9ERVBUSA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9SRUFEX09OTFk=::MQ==::Q1RMX0NTUl9SRUFEX09OTFk="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX09GX1BPUlRT::MQ==::TnVtYmVyIG9mIHBvcnRz"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JPTkRJTkc=::ZmFsc2U=::RXhwb3J0IGJvbmRpbmcgaW50ZXJmYWNl"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1VTRVJfRUND::ZmFsc2U=::RXhwYW5kIEF2YWxvbi1NTSBkYXRhIGZvciBFQ0M="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVA==::MzIsMzIsMzIsMzIsMzIsMzI=::V2lkdGg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVA==::MSwxLDEsMSwxLDE=::UHJpb3JpdHk="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlQ=::MCwwLDAsMCwwLDA=::V2VpZ2h0"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JU::QmlkaXJlY3Rpb25hbCxCaWRpcmVjdGlvbmFsLEJpZGlyZWN0aW9uYWwsQmlkaXJlY3Rpb25hbCxCaWRpcmVjdGlvbmFsLEJpZGlyZWN0aW9uYWw=::VHlwZQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkFURQ==::RnVsbA==::UmF0ZSBvbiBBdmFsb24tTU0gaW50ZXJmYWNl"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVR::MzAwLjA=::TWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUQ==::ZmFsc2U=::VXNlIHNwZWNpZmllZCBmcmVxdWVuY3kgaW5zdGVhZCBvZiBjYWxjdWxhdGVkIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0RRU19UUkFDS0lORw==::ZmFsc2U=::VVNFX0RRU19UUkFDS0lORw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfRFFTX1RSQUNLSU5H::QVVUTw==::Rm9yY2UgRFFTIFRyYWNraW5nIEVuYWJsZWQvRGlzYWJsZWQ="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0hQU19EUVNfVFJBQ0tJTkc=::ZmFsc2U=::VVNFX0hQU19EUVNfVFJBQ0tJTkc="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJLX1BBUkFMTEVMX1NDQ19MT0FE::ZmFsc2U=::VFJLX1BBUkFMTEVMX1NDQ19MT0FE"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1NIQURPV19SRUdT::ZmFsc2U=::VVNFX1NIQURPV19SRUdT"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0hBRE9XX1JFR1M=::QVVUTw==::U2hhZG93IFJlZ2lzdGVycw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFfRERS::MQ==::RFFfRERS"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUREUl9DTURfRERS::MA==::QUREUl9DTURfRERS"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1JBVEVfUkFUSU8=::MQ==::QUZJX1JBVEVfUkFUSU8="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "REFUQV9SQVRFX1JBVElP::Mg==::REFUQV9SQVRFX1JBVElP"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUREUl9SQVRFX1JBVElP::MQ==::QUREUl9SQVRFX1JBVElP"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0FERFJfV0lEVEg=::MTM=::QUZJX0FERFJfV0lEVEg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0JBTktBRERSX1dJRFRI::Mw==::QUZJX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NPTlRST0xfV0lEVEg=::MQ==::QUZJX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NTX1dJRFRI::MQ==::QUZJX0NTX1dJRFRI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19FTl9XSURUSA==::MQ==::QUZJX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0RNX1dJRFRI::NA==::QUZJX0RNX1dJRFRI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0RRX1dJRFRI::MzI=::QUZJX0RRX1dJRFRI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX09EVF9XSURUSA==::MQ==::QUZJX09EVF9XSURUSA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1dSSVRFX0RRU19XSURUSA==::Mg==::QUZJX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1JMQVRfV0lEVEg=::Ng==::QUZJX1JMQVRfV0lEVEg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1dMQVRfV0lEVEg=::Ng==::QUZJX1dMQVRfV0lEVEg="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1JSQU5LX1dJRFRI::Mg==::QUZJX1JSQU5LX1dJRFRI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1dSQU5LX1dJRFRI::Mg==::QUZJX1dSQU5LX1dJRFRI"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19QQUlSX0NPVU5U::MQ==::QUZJX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw==::ZmFsc2U=::TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ==::Q1lDTE9ORVY=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJFX1ZfU0VSSUVTX0ZBTUlMWQ==::ZmFsc2U=::UFJFX1ZfU0VSSUVTX0ZBTUlMWQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ==::Q1lDTE9ORVY=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWV9QQVJBTQ==::Q3ljbG9uZSBW::REVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREU=::OA==::U3BlZWQgR3JhZGU="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNF::ZmFsc2U=::RW5naW5lZXJpbmcgU2FtcGxlIChFUykgRGV2aWNl"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "RElTQUJMRV9DSElMRF9NRVNTQUdJTkc=::dHJ1ZQ==::RElTQUJMRV9DSElMRF9NRVNTQUdJTkc="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEFSRF9QSFk=::dHJ1ZQ==::SEFSRF9QSFk="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEFSRF9FTUlG::dHJ1ZQ==::RW5hYmxlIEhhcmQgRXh0ZXJuYWwgTWVtb3J5IEludGVyZmFjZQ=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQUw==::ZmFsc2U=::SEhQX0hQUw=="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19WRVJJRklDQVRJT04=::ZmFsc2U=::SEhQX0hQU19WRVJJRklDQVRJT04="
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19TSU1VTEFUSU9O::ZmFsc2U=::SEhQX0hQU19TSU1VTEFUSU9O"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "SFBTX1BST1RPQ09M::REVGQVVMVA==::TWVtb3J5IFByb3RvY29s"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1VUX05FV19GQU1JTFlfVElNSU5H::dHJ1ZQ==::Q1VUX05FV19GQU1JTFlfVElNSU5H"
set_global_assignment -entity "ddr3_dmaster" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19kbWFzdGVy"
set_global_assignment -entity "ddr3_dmaster" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "SlRBRyB0byBBdmFsb24gTWFzdGVyIEJyaWRnZQ=="
set_global_assignment -entity "ddr3_dmaster" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_dmaster" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ddr3_dmaster" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_dmaster" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_dmaster" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "VGhlIEpUQUcgdG8gQXZhbG9uIE1hc3RlciBCcmlkZ2UgaXMgYSBjb2xsZWN0aW9uIG9mIHByZS13aXJlZCBjb21wb25lbnRzIHRoYXQgcHJvdmlkZSBhbiBBdmFsb24gTWFzdGVyIHVzaW5nIHRoZSBuZXcgSlRBRyBjaGFubmVsLg=="
set_global_assignment -entity "ddr3_dmaster" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1BMSQ==::MA==::VXNlIFNpbXVsYXRpb24gTGluayBNb2Rl"
set_global_assignment -entity "ddr3_dmaster" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09NUE9ORU5UX0NMT0NL::MA==::Q09NUE9ORU5UX0NMT0NL"
set_global_assignment -entity "ddr3_dmaster" -library "ddr3" -name IP_COMPONENT_PARAMETER "RkFTVF9WRVI=::MA==::RW5oYW5jZWQgdHJhbnNhY3Rpb24gbWFzdGVy"
set_global_assignment -entity "ddr3_dmaster" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "ddr3_dmaster" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::NUNFQkEyRjE3QTc=::QXV0byBERVZJQ0U="
set_global_assignment -entity "ddr3_dmaster" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Nw==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ=="
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19kbWFzdGVyX3AyYl9hZGFwdGVy"
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIENoYW5uZWwgQWRhcHRlcg=="
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5DaGFubmVsV2lkdGg=::MA==::Q2hhbm5lbCBTaWduYWwgV2lkdGggKGJpdHMp"
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5NYXhDaGFubmVs::MA==::TWF4IENoYW5uZWw="
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0Q2hhbm5lbFdpZHRo::OA==::Q2hhbm5lbCBTaWduYWwgV2lkdGggKGJpdHMp"
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0TWF4Q2hhbm5lbA==::MjU1::TWF4IENoYW5uZWw="
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5CaXRzUGVyU3ltYm9s::OA==::RGF0YSBCaXRzIFBlciBTeW1ib2w="
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::dHJ1ZQ==::SW5jbHVkZSBQYWNrZXQgU3VwcG9ydA=="
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eVBvcnQ=::QVVUTw==::SW5jbHVkZSBFbXB0eSBTaWduYWw="
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eQ==::ZmFsc2U=::aW5Vc2VFbXB0eQ=="
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5TeW1ib2xzUGVyQmVhdA==::MQ==::RGF0YSBTeW1ib2xzIFBlciBCZWF0"
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VSZWFkeQ==::dHJ1ZQ==::U3VwcG9ydCBCYWNrcHJlc3N1cmUgd2l0aCB0aGUgcmVhZHkgc2lnbmFs"
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5SZWFkeUxhdGVuY3k=::MA==::UmVhZHkgTGF0ZW5jeQ=="
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::MA==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ=="
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19kbWFzdGVyX2IycF9hZGFwdGVy"
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIENoYW5uZWwgQWRhcHRlcg=="
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5DaGFubmVsV2lkdGg=::OA==::Q2hhbm5lbCBTaWduYWwgV2lkdGggKGJpdHMp"
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5NYXhDaGFubmVs::MjU1::TWF4IENoYW5uZWw="
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0Q2hhbm5lbFdpZHRo::MA==::Q2hhbm5lbCBTaWduYWwgV2lkdGggKGJpdHMp"
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0TWF4Q2hhbm5lbA==::MA==::TWF4IENoYW5uZWw="
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5CaXRzUGVyU3ltYm9s::OA==::RGF0YSBCaXRzIFBlciBTeW1ib2w="
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::dHJ1ZQ==::SW5jbHVkZSBQYWNrZXQgU3VwcG9ydA=="
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eVBvcnQ=::QVVUTw==::SW5jbHVkZSBFbXB0eSBTaWduYWw="
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eQ==::ZmFsc2U=::aW5Vc2VFbXB0eQ=="
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5TeW1ib2xzUGVyQmVhdA==::MQ==::RGF0YSBTeW1ib2xzIFBlciBCZWF0"
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VSZWFkeQ==::dHJ1ZQ==::U3VwcG9ydCBCYWNrcHJlc3N1cmUgd2l0aCB0aGUgcmVhZHkgc2lnbmFs"
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5SZWFkeUxhdGVuY3k=::MA==::UmVhZHkgTGF0ZW5jeQ=="
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::MA==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ=="
set_global_assignment -entity "altera_avalon_packets_to_master" -library "ddr3" -name IP_COMPONENT_NAME "YWx0ZXJhX2F2YWxvbl9wYWNrZXRzX3RvX21hc3Rlcg=="
set_global_assignment -entity "altera_avalon_packets_to_master" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIFBhY2tldHMgdG8gVHJhbnNhY3Rpb24gQ29udmVydGVy"
set_global_assignment -entity "altera_avalon_packets_to_master" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_avalon_packets_to_master" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_avalon_packets_to_master" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_avalon_packets_to_master" -library "ddr3" -name IP_COMPONENT_VERSION "MTAwLjk5Ljk4Ljk3"
set_global_assignment -entity "altera_avalon_packets_to_master" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "QXZhbG9uIFBhY2tldHMgdG8gVHJhbnNhY3Rpb24gQ29udmVydGVy"
set_global_assignment -entity "altera_avalon_packets_to_master" -library "ddr3" -name IP_COMPONENT_PARAMETER "RVhQT1JUX01BU1RFUl9TSUdOQUxT::MA==::RVhQT1JUX01BU1RFUl9TSUdOQUxT"
set_global_assignment -entity "altera_avalon_packets_to_master" -library "ddr3" -name IP_COMPONENT_PARAMETER "RkFTVF9WRVI=::MA==::RW5oYW5jZWQgdHJhbnNhY3Rpb24gbWFzdGVy"
set_global_assignment -entity "altera_avalon_packets_to_master" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "altera_avalon_st_packets_to_bytes" -library "ddr3" -name IP_COMPONENT_NAME "YWx0ZXJhX2F2YWxvbl9zdF9wYWNrZXRzX3RvX2J5dGVz"
set_global_assignment -entity "altera_avalon_st_packets_to_bytes" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIFBhY2tldHMgdG8gQnl0ZXMgQ29udmVydGVy"
set_global_assignment -entity "altera_avalon_st_packets_to_bytes" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_avalon_st_packets_to_bytes" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_avalon_st_packets_to_bytes" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_avalon_st_packets_to_bytes" -library "ddr3" -name IP_COMPONENT_VERSION "MTAwLjk5Ljk4Ljk3"
set_global_assignment -entity "altera_avalon_st_packets_to_bytes" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "QXZhbG9uLVNUIFBhY2tldHMgdG8gQnl0ZXMgQ29udmVydGVy"
set_global_assignment -entity "altera_avalon_st_packets_to_bytes" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9XSURUSF9ERVJJVkVE::OA==::Q0hBTk5FTF9XSURUSF9ERVJJVkVE"
set_global_assignment -entity "altera_avalon_st_packets_to_bytes" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5DT0RJTkc=::MA==::RW5jb2Rpbmc="
set_global_assignment -entity "altera_avalon_st_packets_to_bytes" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "altera_avalon_st_packets_to_bytes" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MA==::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "altera_avalon_st_bytes_to_packets" -library "ddr3" -name IP_COMPONENT_NAME "YWx0ZXJhX2F2YWxvbl9zdF9ieXRlc190b19wYWNrZXRz"
set_global_assignment -entity "altera_avalon_st_bytes_to_packets" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEJ5dGVzIHRvIFBhY2tldHMgQ29udmVydGVy"
set_global_assignment -entity "altera_avalon_st_bytes_to_packets" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_avalon_st_bytes_to_packets" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_avalon_st_bytes_to_packets" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_avalon_st_bytes_to_packets" -library "ddr3" -name IP_COMPONENT_VERSION "MTAwLjk5Ljk4Ljk3"
set_global_assignment -entity "altera_avalon_st_bytes_to_packets" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "QXZhbG9uLVNUIEJ5dGVzIHRvIFBhY2tldHMgQ29udmVydGVy"
set_global_assignment -entity "altera_avalon_st_bytes_to_packets" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9XSURUSF9ERVJJVkVE::OA==::Q0hBTk5FTF9XSURUSF9ERVJJVkVE"
set_global_assignment -entity "altera_avalon_st_bytes_to_packets" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5DT0RJTkc=::MA==::RW5jb2Rpbmc="
set_global_assignment -entity "altera_avalon_st_bytes_to_packets" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "altera_avalon_st_bytes_to_packets" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MA==::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19kbWFzdGVyX3RpbWluZ19hZHQ="
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIFRpbWluZyBBZGFwdGVy"
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5DaGFubmVsV2lkdGg=::MA==::Q2hhbm5lbCBTaWduYWwgV2lkdGggKGJpdHMp"
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5NYXhDaGFubmVs::MA==::TWF4IENoYW5uZWw="
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5CaXRzUGVyU3ltYm9s::OA==::RGF0YSBCaXRzIFBlciBTeW1ib2w="
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::ZmFsc2U=::SW5jbHVkZSBQYWNrZXQgU3VwcG9ydA=="
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eQ==::ZmFsc2U=::aW5Vc2VFbXB0eQ=="
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5TeW1ib2xzUGVyQmVhdA==::MQ==::RGF0YSBTeW1ib2xzIFBlciBCZWF0"
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VSZWFkeQ==::ZmFsc2U=::U3VwcG9ydCBCYWNrcHJlc3N1cmUgd2l0aCB0aGUgcmVhZHkgc2lnbmFs"
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0VXNlUmVhZHk=::dHJ1ZQ==::U3VwcG9ydCBCYWNrcHJlc3N1cmUgd2l0aCB0aGUgcmVhZHkgc2lnbmFs"
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0UmVhZHlMYXRlbmN5::MA==::UmVhZHkgTGF0ZW5jeQ=="
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::MA==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ=="
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_COMPONENT_PARAMETER "aW5Vc2VWYWxpZA==::dHJ1ZQ==::SW5jbHVkZSBWYWxpZCBTaWduYWw="
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_COMPONENT_PARAMETER "b3V0VXNlVmFsaWQ=::dHJ1ZQ==::SW5jbHVkIFZhbGlkIFNpZ25hbA=="
set_global_assignment -entity "altera_avalon_st_jtag_interface" -library "ddr3" -name IP_COMPONENT_NAME "YWx0ZXJhX2F2YWxvbl9zdF9qdGFnX2ludGVyZmFjZQ=="
set_global_assignment -entity "altera_avalon_st_jtag_interface" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEpUQUcgSW50ZXJmYWNl"
set_global_assignment -entity "altera_avalon_st_jtag_interface" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_avalon_st_jtag_interface" -library "ddr3" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "altera_avalon_st_jtag_interface" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_avalon_st_jtag_interface" -library "ddr3" -name IP_COMPONENT_VERSION "MTAwLjk5Ljk4Ljk3"
set_global_assignment -entity "altera_avalon_st_jtag_interface" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFVSUE9TRQ==::MQ==::UFVSUE9TRQ=="
set_global_assignment -entity "altera_avalon_st_jtag_interface" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVBTVFJFQU1fRklGT19TSVpF::MA==::VVBTVFJFQU1fRklGT19TSVpF"
set_global_assignment -entity "altera_avalon_st_jtag_interface" -library "ddr3" -name IP_COMPONENT_PARAMETER "RE9XTlNUUkVBTV9GSUZPX1NJWkU=::NjQ=::RE9XTlNUUkVBTV9GSUZPX1NJWkU="
set_global_assignment -entity "altera_avalon_st_jtag_interface" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUdNVF9DSEFOTkVMX1dJRFRI::LTE=::TWFuYWdlbWVudCBjaGFubmVsIHdpZHRo"
set_global_assignment -entity "altera_avalon_st_jtag_interface" -library "ddr3" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0pUQUc=::MA==::RVhQT1JUX0pUQUc="
set_global_assignment -entity "altera_avalon_st_jtag_interface" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1BMSQ==::MA==::VXNlIFNpbXVsYXRpb24gTGluayBNb2Rl"
set_global_assignment -entity "altera_avalon_st_jtag_interface" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0RPV05TVFJFQU1fUkVBRFk=::MA==::VVNFX0RPV05TVFJFQU1fUkVBRFk="
set_global_assignment -entity "altera_avalon_st_jtag_interface" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09NUE9ORU5UX0NMT0NL::MA==::Q09NUE9ORU5UX0NMT0NL"
set_global_assignment -entity "altera_avalon_st_jtag_interface" -library "ddr3" -name IP_COMPONENT_PARAMETER "RkFCUklD::Mi4w::RkFCUklD"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19zMA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "RERSMyBTRFJBTSBRc3lzIFNlcXVlbmNlcg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "RERSMyBTRFJBTSBRc3lzIFNlcXVlbmNlcg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMA==::MDAwMTAwMDExMDAwMQ==::QUNfUk9NX01SMA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9NSVJS::MDAwMTAwMTAwMTAwMQ==::QUNfUk9NX01SMF9NSVJS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVQ=::MDAwMTEwMDExMDAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVQ="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg==::MDAwMTAxMTAwMTAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMQ==::MDAwMDAwMTAwMDAwMA==::QUNfUk9NX01SMQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMV9NSVJS::MDAwMDAwMDEwMDAwMA==::QUNfUk9NX01SMV9NSVJS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMg==::MDAwMDAwMDAwMTAwMA==::QUNfUk9NX01SMg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMl9NSVJS::MDAwMDAwMDAxMDAwMA==::QUNfUk9NX01SMl9NSVJS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMw==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SM19NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SM19NSVJS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ::dHJ1ZQ==::VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0JM::MQ==::TVIwX0JM"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0JU::MA==::TVIwX0JU"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0NBU19MQVRFTkNZ::Mw==::TVIwX0NBU19MQVRFTkNZ"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0RMTA==::MQ==::TVIwX0RMTA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX1dS::MQ==::TVIwX1dS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX1BE::MA==::TVIwX1BE"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX0RMTA==::MA==::TVIxX0RMTA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX09EUw==::MA==::TVIxX09EUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1JUVA==::Mg==::TVIxX1JUVA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX0FM::MA==::TVIxX0FM"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1dM::MA==::TVIxX1dM"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1REUVM=::MA==::TVIxX1REUVM="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1FPRkY=::MA==::TVIxX1FPRkY="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX0RRUw==::MA==::TVIxX0RRUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1JEUVM=::MA==::TVIxX1JEUVM="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX0NXTA==::MQ==::TVIyX0NXTA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX0FTUg==::MA==::TVIyX0FTUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX1NSVA==::MA==::TVIyX1NSVA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX1NSRg==::MA==::TVIyX1NSRg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX1JUVF9XUg==::MA==::TVIyX1JUVF9XUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9SRg==::MA==::TVIzX01QUl9SRg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIzX01QUg==::MA==::TVIzX01QUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9BQQ==::MA==::TVIzX01QUl9BQQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JFQURfRFFTX1dJRFRI::Mg==::TUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSSVRFX0RRU19XSURUSA==::Mg==::TUVNX0lGX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0NDX0RBVEFfV0lEVEg=::MQ==::U0NDX0RBVEFfV0lEVEg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEg=::MTM=::TUVNX0lGX0FERFJfV0lEVEg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEhfTUlO::MTM=::TUVNX0lGX0FERFJfV0lEVEhfTUlO"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JPV19BRERSX1dJRFRI::MTM=::TUVNX0lGX1JPV19BRERSX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTF9BRERSX1dJRFRI::MTA=::TUVNX0lGX0NPTF9BRERSX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1dJRFRI::Mg==::TUVNX0lGX0RNX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9SQU5L::MQ==::TUVNX0lGX0NTX1BFUl9SQU5L"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX05VTUJFUl9PRl9SQU5LUw==::MQ==::TUVNX0lGX05VTUJFUl9PRl9SQU5LUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9ESU1N::MQ==::TUVNX0lGX0NTX1BFUl9ESU1N"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTlRST0xfV0lEVEg=::MQ==::TUVNX0lGX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JVUlNUX0xFTkdUSA==::OA==::TUVNX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0xFVkVMSU5H::ZmFsc2U=::TUVNX0xFVkVMSU5H"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU19XSURUSA==::Mg==::TnVtYmVyIG9mIERRUyBncm91cHM="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1dJRFRI::MQ==::TUVNX0lGX0NTX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NISVBfQklUUw==::MQ==::TUVNX0lGX0NISVBfQklUUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JBTktBRERSX1dJRFRI::Mw==::TUVNX0lGX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRX1dJRFRI::MTY=::TUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NLX1dJRFRI::MQ==::TUVNX0lGX0NLX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19FTl9XSURUSA==::MQ==::TUVNX0lGX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19QQUlSX0NPVU5U::MQ==::TUVNX0lGX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX1dJRFRI::MQ==::REVWSUNFX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfTlM=::MS41::TUVNX0NMS19NQVhfTlM="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfUFM=::MTUwMC4w::TUVNX0NMS19NQVhfUFM="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQw==::MTU=::TUVNX1RSQw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVM=::MTE=::TUVNX1RSQVM="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0Q=::NQ==::TUVNX1RSQ0Q="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUA==::NQ==::TUVNX1RSUA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJ::MjM0MQ==::TUVNX1RSRUZJ"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkM=::MzQ=::TUVNX1RSRkM="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0Q=::MQ==::Q0ZHX1RDQ0Q="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RXUg==::NQ==::TUVNX1RXUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVc=::MTQ=::TUVNX1RGQVc="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkQ=::Mw==::TUVNX1RSUkQ="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFA=::Mw==::TUVNX1RSVFA="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ==::NDUw::TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ==::MTAwMDAw::TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX09EVF9XSURUSA==::MQ==::TUVNX0lGX09EVF9XSURUSA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0xfSU5U::Ng==::TUVNX1dUQ0xfSU5U"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RkxZX0JZ::dHJ1ZQ==::RkxZX0JZ"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkRJTU0=::ZmFsc2U=::UkRJTU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFJESU1N::ZmFsc2U=::TFJESU1N"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkRJTU1fSU5U::MA==::UkRJTU1fSU5U"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFJESU1NX0lOVA==::MA==::TFJESU1NX0lOVA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0xSRElNTV9STQ==::MA==::TUVNX0lGX0xSRElNTV9STQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU::Mg==::TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU::Mw==::TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw==::MA==::Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw==::MA==::Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::Mg==::Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RZUEU=::RERSMw==::TUVNX1RZUEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw==::MA==::TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0xfSU5U::MA==::TUVNX0FUQ0xfSU5U"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::TUVNX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0xSRElNTV9FTkFCTEVE::ZmFsc2U=::TUVNX0xSRElNTV9FTkFCTEVE"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1ZFTkRPUg==::TWljcm9u::TWVtb3J5IHZlbmRvcg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkRJTU1fQ09ORklH::MA==::RERSMyBSRElNTS9MUkRJTU0gQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFJESU1NX0VYVEVOREVEX0NPTkZJRw==::MHgw::TFJESU1NIEFkZGl0aW9uYWwgQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RElTQ1JFVEVfRkxZX0JZ::dHJ1ZQ==::Rmx5LWJ5IHRvcG9sb2d5"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX0RFUFRI::MQ==::TnVtYmVyIG9mIGNoaXAgc2VsZWN0cw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5H::MA==::TWlycm9yIEFkZHJlc3Npbmc6IDEgcGVyIGNoaXAgc2VsZWN0"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX01BWA==::NjY2LjY2Nw==::TWVtb3J5IGRldmljZSBzcGVlZCBncmFkZQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JPV19BRERSX1dJRFRI::MTM=::Um93IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NPTF9BRERSX1dJRFRI::MTA=::Q29sdW1uIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1dJRFRI::MTY=::VG90YWwgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1BFUl9EUVM=::OA==::RFEvRFFTIGdyb3VwIHNpemU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JBTktBRERSX1dJRFRI::Mw==::QmFuay1hZGRyZXNzIHdpZHRo"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1BJTlNfRU4=::dHJ1ZQ==::RW5hYmxlIERNIHBpbnM="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU05fRU4=::dHJ1ZQ==::RFFTIyBFbmFibGU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9ESU1NUw==::MQ==::TnVtYmVyIG9mIHNsb3Rz"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfRElNTQ==::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBzbG90"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfREVWSUNF::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBkZXZpY2U="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JBTktfTVVMVElQTElDQVRJT05fRkFDVE9S::MQ==::KERlcHJlY2F0ZWQpIExSRElNTSBSYW5rIE11bHRpcGxpY2F0aW9uIEZhY3Rvcg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1dJRFRI::MQ==::TnVtYmVyIG9mIGNsb2Nrcw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NTX1dJRFRI::MQ==::TnVtYmVyIG9mIGNoaXAtc2VsZWN0cyBwZXIgZGV2aWNlL0RJTU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19FTl9XSURUSA==::MQ==::TnVtYmVyIG9mIGNsb2NrIGVuYWJsZXMgcGVyIGRldmljZS9ESU1N"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUxUTUVNUEhZX0NPTVBBVElCTEVfTU9ERQ==::ZmFsc2U=::QWxsb3cgdGhlIG1lbW9yeSBtb2RlbCB0byBiZSB1c2UgaW4gQUxUTUVNUEhZ"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TkVYVEdFTg==::dHJ1ZQ==::RW5hYmxlIDExLjAgZXh0cmEgY29udHJvbGxlciBmZWF0dXJlcw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JPQVJEX0JBU0VfREVMQVk=::MTA=::QmFzZSBib2FyZCBkZWxheSBmb3IgYm9hcmQgZGVsYXkgbW9kZWw="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c=::MA==::TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA==::ZmFsc2U=::TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1ZFUkJPU0U=::dHJ1ZQ==::RW5hYmxlIHZlcmJvc2UgbWVtb3J5IG1vZGVsIG91dHB1dA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UElOR1BPTkdQSFlfRU4=::ZmFsc2U=::RW5hYmxlIFBpbmcgUG9uZyBQSFk="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX0FD::ZmFsc2U=::RHVwbGljYXRlIEFkZHJlc3MgYW5kIENvbW1hbmQgU2lnbmFscw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9CVVJTVF9WQUxJREFUSU9O::ZmFsc2U=::RW5hYmxlIG1lbW9yeSBtb2RlbCByZWZyZXNoIGJ1cnN0IHZhbGlkYXRpb24="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVBfTU9ERV9FTg==::MA==::QVBfTU9ERV9FTg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVBfTU9ERQ==::ZmFsc2U=::QVBfTU9ERQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JM::T1RG::QnVyc3QgTGVuZ3Ro"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JU::U2VxdWVudGlhbA==::UmVhZCBCdXJzdCBUeXBl"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FTUg==::TWFudWFs::QXV0byBzZWxmcmVmcmVzaCBtZXRob2Q="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1NSVA==::Tm9ybWFs::U2VsZnJlZnJlc2ggdGVtcGVyYXR1cmU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1BE::RExMIG9mZg==::RExMIHByZWNoYXJnZSBwb3dlciBkb3du"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RSVl9TVFI=::UlpRLzY=::T3V0cHV0IGRyaXZlIHN0cmVuZ3RoIHNldHRpbmc="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RMTF9FTg==::dHJ1ZQ==::RW5hYmxlIHRoZSBETEwgaW4gbWVtb3J5IGRldmljZXM="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9OT00=::UlpRLzI=::T0RUIFJ0dCBub21pbmFsIHZhbHVl"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9XUg==::RHluYW1pYyBPRFQgb2Zm::RHluYW1pYyBPRFQgKFJ0dF9XUikgdmFsdWU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0w=::Ng==::TWVtb3J5IHdyaXRlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0w=::RGlzYWJsZWQ=::TWVtb3J5IGFkZGl0aXZlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RDTA==::Nw==::TWVtb3J5IENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fTEVWRUxJTkdfTU9ERQ==::dHJ1ZQ==::QXV0b2xldmVsaW5nIHNlbGVjdGlvbg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1VTRVJfTEVWRUxJTkdfTU9ERQ==::TGV2ZWxpbmc=::TGV2ZWxpbmcgaW50ZXJmYWNlIG1vZGU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lOSVRfRU4=::ZmFsc2U=::RW5hYmxlcyBtZW1vcnkgY29udGVudCBpbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJUw==::MTkw::dElTIChiYXNlKQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJSA==::MTQw::dElIIChiYXNlKQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUw==::MzA=::dERTIChiYXNlKQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RESA==::NjU=::dERIIChiYXNlKQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNR::MTI1::dERRU1E="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSA==::MC4zOA==::dFFI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDSw==::MjU1::dERRU0NL"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RT::NDUw::dERRU0NLIERlbHRhIFNob3J0"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RN::OTAw::dERRU0NLIERlbHRhIE1lZGl1bQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RM::MTIwMA==::dERRU0NLIERlbHRhIExvbmc="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNT::MC4yNQ==::dERRU1M="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRU0g=::MC40::dFFTSA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU0g=::MC4y::dERTSA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU1M=::MC4y::dERTUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX1VT::NTAw::dElOSVQ="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX0NL::MTUwMDAw::TUVNX1RJTklUX0NL"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1REUVNDSw==::MQ==::TUVNX1REUVNDSw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RNUkRfQ0s=::NA==::dE1SRA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVNfTlM=::MzYuMA==::dFJBUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0RfTlM=::MTMuNQ==::dFJDRA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUF9OUw==::MTMuNQ==::dFJQ"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJX1VT::Ny44::dFJFRkk="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkNfTlM=::MTEwLjA=::dFJGQw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0RfTlM=::Mi41::dENDRA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RXUl9OUw==::MTUuMA==::dFdS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RXVFI=::NQ==::dFdUUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVdfTlM=::NDUuMA==::dEZBVw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkRfTlM=::Ny41::dFJSRA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFBfTlM=::Ny41::dFJUUA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw==::ZmFsc2U=::Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0RSX0NMSw==::ZmFsc2U=::VVNFX0RSX0NMSw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX1VTRV9EUl9DTEs=::ZmFsc2U=::RExMX1VTRV9EUl9DTEs="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFXzJYX0ZG::ZmFsc2U=::VVNFXzJYX0ZG"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFVBTF9XUklURV9DTE9DSw==::ZmFsc2U=::RFVBTF9XUklURV9DTE9DSw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "R0VORVJJQ19QTEw=::dHJ1ZQ==::R0VORVJJQ19QTEw="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0hBUkRfUkVBRF9GSUZP::ZmFsc2U=::VVNFX0hBUkRfUkVBRF9GSUZP"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX0hBTEZfUkFURQ==::ZmFsc2U=::UkVBRF9GSUZPX0hBTEZfUkFURQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01BU1RFUg==::dHJ1ZQ==::UExMX01BU1RFUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX01BU1RFUg==::dHJ1ZQ==::RExMX01BU1RFUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEhZX1ZFUlNJT05fTlVNQkVS::MTUx::UEhZX1ZFUlNJT05fTlVNQkVS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfT0NJ::ZmFsc2U=::RU5BQkxFX05JT1NfT0NJ"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfSlRBR19NQVNURVI=::dHJ1ZQ==::RU5BQkxFX0VNSVRfSlRBR19NQVNURVI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfSlRBR19VQVJU::ZmFsc2U=::RU5BQkxFX05JT1NfSlRBR19VQVJU"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA==::ZmFsc2U=::RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI=::ZmFsc2U=::RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfQkZNX01BU1RFUg==::ZmFsc2U=::RU5BQkxFX0VNSVRfQkZNX01BU1RFUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF::ZmFsc2U=::Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA==::ZmFsc2U=::RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0=::ZmFsc2U=::RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU=::ZmFsc2U=::TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc=::ZmFsc2U=::REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ==::dHJ1ZQ==::RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw==::dHJ1ZQ==::RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NQ==::TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVBRF9WQUxJRF9GSUZPX1NJWkU=::MTY=::UkVBRF9WQUxJRF9GSUZPX1NJWkU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RVhUUkFfVkZJRk9fU0hJRlQ=::MA==::RVhUUkFfVkZJRk9fU0hJRlQ="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0NMS19GUkVR::MzAwLjA=::VEJfTUVNX0NMS19GUkVR"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfUkFURQ==::RlVMTA==::VEJfUkFURQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX0RRX1dJRFRI::MTY=::VEJfTUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI::Mg==::VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfUExMX0RMTF9NQVNURVI=::dHJ1ZQ==::VEJfUExMX0RMTF9NQVNURVI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RkFTVF9TSU1fQ0FMSUJSQVRJT04=::ZmFsc2U=::RkFTVF9TSU1fQ0FMSUJSQVRJT04="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVR::NTAuMA==::UExMIHJlZmVyZW5jZSBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1NUUg==::NTAuMCBNSHo=::UkVGX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19OUw==::MjAuMA==::UkVGX0NMS19OUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19QUw==::MjAwMDAuMA==::UkVGX0NMS19QUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVR::MC4w::UExMX0RSX0NMS19GUkVR"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX0RSX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QUw==::MA==::UExMX0RSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0RSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxU::MA==::UExMX0RSX0NMS19NVUxU"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVY=::MA==::UExMX0RSX0NMS19ESVY="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUQ==::MzAwLjA=::QWNoaWV2ZWQgbWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TVFI=::MzAwLjAgTUh6::UExMX01FTV9DTEtfRlJFUV9TVFI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RS::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFM=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVH::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVA==::NjAwMDAwMA==::UExMX01FTV9DTEtfTVVMVA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElW::MTAwMDAwMA==::UExMX01FTV9DTEtfRElW"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUQ==::MzAwLjA=::QWNoaWV2ZWQgbG9jYWwgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TVFI=::MzAwLjAgTUh6::UExMX0FGSV9DTEtfRlJFUV9TVFI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RS::MzMzNCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFM=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVH::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVA==::NjAwMDAwMA==::UExMX0FGSV9DTEtfTVVMVA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElW::MTAwMDAwMA==::UExMX0FGSV9DTEtfRElW"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVR::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVR"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX1dSSVRFX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QUw==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TVFI=::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUc=::MjcwLjA=::UExMX1dSSVRFX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0=::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RS::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN::MjcwLjA=::UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxU::NjAwMDAwMA==::UExMX1dSSVRFX0NMS19NVUxU"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVY=::MTAwMDAwMA==::UExMX1dSSVRFX0NMS19ESVY="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVR::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVR"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX0FERFJfQ01EX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw==::MjUwMA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TVFI=::MjUwMCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc=::MjcwLjA=::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0=::MjUwMA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RS::MjUwMCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN::MjcwLjA=::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxU::NjAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19NVUxU"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVY=::MTAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19ESVY="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVR::MTUwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVR"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NUUg==::MTUwLjAgTUh6::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFI=::NjY2OCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TVFI=::MCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RS::MCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxU::NjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19NVUxU"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVY=::MjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19ESVY="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVE=::NjAuMA==::UExMX05JT1NfQ0xLX0ZSRVE="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU1RS::NjAuMCBNSHo=::UExMX05JT1NfQ0xLX0ZSRVFfU1RS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUg==::MTY2NzAgcHM=::UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BT::NDE2::UExMX05JT1NfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NUUg==::NDE2IHBz::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NUUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFRw==::OS4w::UExMX05JT1NfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ==::NDYz::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFI=::NDYzIHBz::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0=::MTAuMA==::UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFQ=::NjAwMDAwMA==::UExMX05JT1NfQ0xLX01VTFQ="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVg==::NTAwMDAwMA==::UExMX05JT1NfQ0xLX0RJVg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUQ==::MjAuMA==::UExMX0NPTkZJR19DTEtfRlJFUQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TVFI=::MjAuMCBNSHo=::UExMX0NPTkZJR19DTEtfRlJFUV9TVFI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RS::NTAwMTAgcHM=::UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFM=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVH::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVA==::NjAwMDAwMA==::UExMX0NPTkZJR19DTEtfTVVMVA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElW::MTUwMDAwMDA=::UExMX0NPTkZJR19DTEtfRElW"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVR::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVR"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX1AyQ19SRUFEX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc=::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxU::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxU"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVY=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVY="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9TSU1fU1RS::MCBwcw==::UExMX0MyUF9XUklURV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVA==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElW::MA==::UExMX0MyUF9XUklURV9DTEtfRElW"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVR::MC4w::UExMX0hSX0NMS19GUkVR"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX0hSX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QUw==::MA==::UExMX0hSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0hSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxU::MA==::UExMX0hSX0NMS19NVUxU"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVY=::MA==::UExMX0hSX0NMS19ESVY="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVE=::MzAwLjA=::UExMX0FGSV9QSFlfQ0xLX0ZSRVE="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU1RS::MzAwLjAgTUh6::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU1RS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUg==::MzMzNCBwcw==::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NUUg==::MCBwcw==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NUUg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFI=::MCBwcw==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFQ=::NjAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX01VTFQ="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVg==::MTAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX0RJVg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE::dHJ1ZQ==::UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE::dHJ1ZQ==::UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9QQVJBTQ==::MTAuMA==::UkVGX0NMS19GUkVRX01JTl9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9QQVJBTQ==::NTAwLjA=::UkVGX0NMS19GUkVRX01BWF9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9DQUNIRQ==::MTAuMA==::UkVGX0NMS19GUkVRX01JTl9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9DQUNIRQ==::NTAwLjA=::UkVGX0NMS19GUkVRX01BWF9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0RSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0RSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0RSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0RSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0RSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0RSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9QQVJBTQ==::MzAwLjA=::UExMX01FTV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9QQVJBTQ==::NjAwMDAwMA==::UExMX01FTV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX1BBUkFN::MTAwMDAwMA==::UExMX01FTV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9DQUNIRQ==::MzAwLjA=::UExMX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9DQUNIRQ==::NjAwMDAwMA==::UExMX01FTV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX0NBQ0hF::MTAwMDAwMA==::UExMX01FTV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ==::MzAwLjA=::UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN::MzMzNCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ==::NjAwMDAwMA==::UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX1BBUkFN::MTAwMDAwMA==::UExMX0FGSV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ==::MzAwLjA=::UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::MzMzNCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ==::NjAwMDAwMA==::UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX0NBQ0hF::MTAwMDAwMA==::UExMX0FGSV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN::NjAwMDAwMA==::UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfUEFSQU0=::MTAwMDAwMA==::UExMX1dSSVRFX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF::NjAwMDAwMA==::UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU=::MTAwMDAwMA==::UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ==::MjUwMA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN::MjUwMCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN::NjAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0=::MTAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ==::MjUwMA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MjUwMCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF::NjAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU=::MTAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN::MTUwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0=::NjY2OCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN::MCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN::NjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0=::MjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF::MTUwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::NjY2OCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF::NjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU=::MjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0=::NjAuMA==::UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUl9QQVJBTQ==::MTY2NzAgcHM=::UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUl9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN::NDE2::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfUEFSQU0=::NDYzIHBz::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfUEFSQU0=::NjAwMDAwMA==::UExMX05JT1NfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ==::NTAwMDAwMA==::UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU=::NjAuMA==::UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUl9DQUNIRQ==::MTY2NzAgcHM=::UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF::NDE2::UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfQ0FDSEU=::NDYzIHBz::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU=::NjAwMDAwMA==::UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ==::NTAwMDAwMA==::UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ==::MjAuMA==::UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RSX1BBUkFN::NTAwMTAgcHM=::UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ==::MCBwcw==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ==::NjAwMDAwMA==::UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX1BBUkFN::MTUwMDAwMDA=::UExMX0NPTkZJR19DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ==::MjAuMA==::UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::NTAwMTAgcHM=::UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ==::NjAwMDAwMA==::UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF::MTUwMDAwMDA=::UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0hSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0hSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0hSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0hSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0hSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0hSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0=::MzAwLjA=::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUl9QQVJBTQ==::MzMzNCBwcw==::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUl9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfUEFSQU0=::MCBwcw==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0=::NjAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ==::MTAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU=::MzAwLjA=::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUl9DQUNIRQ==::MzMzNCBwcw==::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfQ0FDSEU=::MCBwcw==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU=::NjAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ==::MTAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNFX0NBQ0hF::ZmFsc2U=::SVNfRVNfREVWSUNFX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX0NBQ0hF::MC4w::TUVNX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hF::MC4w::UkVGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkFURV9DQUNIRQ==::VW5rbm93bg==::UkFURV9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SENYX0NPTVBBVF9NT0RFX0NBQ0hF::ZmFsc2U=::SENYX0NPTVBBVF9NT0RFX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ==::VW5rbm93bg==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09NTUFORF9QSEFTRV9DQUNIRQ==::MC4w::Q09NTUFORF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1BIQVNFX0NBQ0hF::MC4w::TUVNX0NLX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF::MC4w::UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ==::MC4w::QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF::MC4w::QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEVfQ0FDSEU=::VW5rbm93bg==::U0VRVUVOQ0VSX1RZUEVfQ0FDSEU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ==::ZmFsc2U=::VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NMS19DQUNIRV9WQUxJRA==::dHJ1ZQ==::UExMX0NMS19DQUNIRV9WQUxJRA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NMS19QQVJBTV9WQUxJRA==::dHJ1ZQ==::UExMX0NMS19QQVJBTV9WQUxJRA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYVFJBX1JFUE9SVElORw==::ZmFsc2U=::RU5BQkxFX0VYVFJBX1JFUE9SVElORw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX0VYVFJBX1JFUE9SVF9QQVRI::MTA=::TlVNX0VYVFJBX1JFUE9SVF9QQVRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0lTU19QUk9CRVM=::ZmFsc2U=::RU5BQkxFX0lTU19QUk9CRVM="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0FMSUJfUkVHX1dJRFRI::OA==::Q0FMSUJfUkVHX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1NFUVVFTkNFUl9CRk0=::ZmFsc2U=::VVNFX1NFUVVFTkNFUl9CRk0="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::UExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0FGSV9IQUxGX0NMSw==::ZmFsc2U=::RW5hYmxlIEFGSSBoYWxmIHJhdGUgY2xvY2s="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q=::ZmFsc2U=::QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA==::ZmFsc2U=::SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw=::ZmFsc2U=::SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZX0lOVEVSTkFM::ZmFsc2U=::VVNFX0ZBS0VfUEhZX0lOVEVSTkFM"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZ::ZmFsc2U=::VXNlIEZha2UgUEhZ"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::MA==::Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF::ZmFsc2U=::VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNUUlVDVElWRV9DQUxJQg==::ZmFsc2U=::RW5hYmxlcyBOb24tRGVzdHJ1Y3RpdmUgQ2FsaWJyYXRpb24="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1VTRVJfUkRJTU1fVkFMVUU=::ZmFsc2U=::VVNFX1VTRVJfUkRJTU1fVkFMVUU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF::ZmFsc2U=::RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfRVJST1JfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfRVJST1JfVEVTVA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfV0FUQ0hfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfV0FUQ0hfVEVTVA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFSR0lOX1ZBUklBVElPTl9URVNU::ZmFsc2U=::TUFSR0lOX1ZBUklBVElPTl9URVNU"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzA=::MF8wMDAwXzAwMDBfMDAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAx"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzE=::MF8wMDAwXzAwMDBfMTAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAy"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJFRkk=::MzUxMDA=::VHJlZmkgeCA5"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9JTlRFUlZBTA==::MTUwMDA=::UmVmcmVzaCBJbnRlcnZhbA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q=::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJGQw==::MzUw::VHJmYw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FM::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FM"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0NTUl9QT1JU::ZmFsc2U=::RVhQT1JUX0NTUl9QT1JU"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1NSX0FERFJfV0lEVEg=::OA==::Q1NSX0FERFJfV0lEVEg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1NSX0RBVEFfV0lEVEg=::MzI=::Q1NSX0RBVEFfV0lEVEg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1NSX0JFX1dJRFRI::NA==::Q1NSX0JFX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RFVklDRQ==::TUlTU0lOR19NT0RFTA==::TUVNX0RFVklDRQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw==::MQ==::TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw==::MQ==::UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UVZMRF9XUl9BRERSRVNTX09GRlNFVA==::NQ==::UVZMRF9XUl9BRERSRVNTX09GRlNFVA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NA==::TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM=::MQ==::TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM=::MA==::Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O::dHJ1ZQ==::UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VRX0JVUlNUX0NPVU5UX1dJRFRI::Mg==::U0VRX0JVUlNUX0NPVU5UX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkNBTElCX0NPVU5UX1dJRFRI::Mg==::VkNBTElCX0NPVU5UX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1BIQVNFX0NPVU5URVJfV0lEVEg=::NA==::UExMX1BIQVNFX0NPVU5URVJfV0lEVEg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc=::MA==::RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX1BIQVNFX1NISUZU::MA==::RFFTX1BIQVNFX1NISUZU"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H::Mg==::REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0lOX1JFU0VSVkU=::NA==::SU9fRFFTX0lOX1JFU0VSVkU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFTX09VVF9SRVNFUlZF::NA==::SU9fRFFTX09VVF9SRVNFUlZF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFfT1VUX1JFU0VSVkU=::MA==::SU9fRFFfT1VUX1JFU0VSVkU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRE1fT1VUX1JFU0VSVkU=::MA==::SU9fRE1fT1VUX1JFU0VSVkU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX0RFTEFZX09GRlNFVA==::MA==::SU9fRFFTX0VOX0RFTEFZX09GRlNFVA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX1BIQVNFX01BWA==::Nw==::SU9fRFFTX0VOX1BIQVNFX01BWA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFEUVNfT1VUX1BIQVNFX01BWA==::MA==::SU9fRFFEUVNfT1VUX1BIQVNFX01BWA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT::ZmFsc2U=::SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M=::ZmFsc2U=::SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19OUw==::My4zMzM=::TUVNX0NMS19OUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19QUw==::MzMzMy4w::TUVNX0NMS19QUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0FMSUJfTEZJRk9fT0ZGU0VU::OA==::Q0FMSUJfTEZJRk9fT0ZGU0VU"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0FMSUJfVkZJRk9fT0ZGU0VU::Ng==::Q0FMSUJfVkZJRk9fT0ZGU0VU"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX09QQV9UQVA=::NDE2::REVMQVlfUEVSX09QQV9UQVA="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RDSEFJTl9UQVA=::MjU=::REVMQVlfUEVSX0RDSEFJTl9UQVA="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ::MjU=::REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX0VOX0RFTEFZX01BWA==::MzE=::RFFTX0VOX0RFTEFZX01BWA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX0lOX0RFTEFZX01BWA==::MzE=::RFFTX0lOX0RFTEFZX01BWA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fSU5fREVMQVlfTUFY::MzE=::SU9fSU5fREVMQVlfTUFY"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fT1VUMV9ERUxBWV9NQVg=::MzE=::SU9fT1VUMV9ERUxBWV9NQVg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fT1VUMl9ERUxBWV9NQVg=::MA==::SU9fT1VUMl9ERUxBWV9NQVg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fU1RBTkRBUkQ=::U1NUTC0xNQ==::SS9PIHN0YW5kYXJk"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkZJRk9fQVNfU0hJRlRfUkVH::dHJ1ZQ==::VkZJRk9fQVNfU0hJRlRfUkVH"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEU=::TklPUw==::U2VxdWVuY2VyIG9wdGltaXphdGlvbg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TklPU19IRVhfRklMRV9MT0NBVElPTg==::Li4v::TklPU19IRVhfRklMRV9MT0NBVElPTg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw==::ZmFsc2U=::QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U=::dHJ1ZQ==::TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RfV0w=::Ng==::TUVNX1RfV0w="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RfUkw=::Nw==::TUVNX1RfUkw="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEhZX0NMS0JVRg==::ZmFsc2U=::UEhZX0NMS0JVRg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw==::ZmFsc2U=::VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19GT1JfQUREUl9DTUQ=::ZmFsc2U=::VVNFX0xEQ19GT1JfQUREUl9DTUQ="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA==::ZmFsc2U=::RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA==::MA==::TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA==::dHJ1ZQ==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF::MA==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA==::ZmFsc2U=::Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU::ZmFsc2U=::Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVHSVNURVJfQzJQ::ZmFsc2U=::UkVHSVNURVJfQzJQ"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS::dHJ1ZQ==::RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYMTBfUlRMX1NFUQ==::ZmFsc2U=::TUFYMTBfUlRMX1NFUQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VRX01PREU=::MA==::RnVsbC0gb3IgaGFsZi1yYXRlIHNlcXVlbmNlcg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QURWQU5DRURfQ0tfUEhBU0VT::ZmFsc2U=::QWR2YW5jZWQgY2xvY2sgcGhhc2UgY29udHJvbA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgcGVyaXBoZXJ5LXRvLWNvcmUgdHJhbnNmZXI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRQ==::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgY29yZS10by1wZXJpcGhlcnkgdHJhbnNmZXI="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgUEhZIGNsb2NrIHRyZWU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1ZPTFRBR0U=::MS41ViBERFIz::U3VwcGx5IFZvbHRhZ2U="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0tJUF9NRU1fSU5JVA==::dHJ1ZQ==::U2tpcCBNZW1vcnkgSW5pdGlhbGl6YXRpb24gRGVsYXlz"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF::SU5WRVJURURfRFFTX0JVUw==::UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFfSU5QVVRfUkVHX1VTRV9DTEtO::ZmFsc2U=::RFFfSU5QVVRfUkVHX1VTRV9DTEtO"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX0RRU05fTU9ERQ==::RElGRkVSRU5USUFM::RFFTX0RRU05fTU9ERQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0RFQlVHX0lORk9fV0lEVEg=::MzI=::QUZJX0RFQlVHX0lORk9fV0lEVEg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0FMSUJSQVRJT05fTU9ERQ==::UXVpY2s=::QXV0by1jYWxpYnJhdGlvbiBtb2Rl"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TklPU19ST01fREFUQV9XSURUSA==::MzI=::TklPU19ST01fREFUQV9XSURUSA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TklPU19ST01fQUREUkVTU19XSURUSA==::MTM=::TklPU19ST01fQUREUkVTU19XSURUSA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX1NJWkU=::OA==::RGVwdGggb2YgdGhlIHJlYWQgRklGTw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9DT05ORUNUSU9O::SU5URVJOQUxfSlRBRw==::Q1NSIHBvcnQgaG9zdCBpbnRlcmZhY2U="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFUl9ERUJVR19MRVZFTA==::MQ==::RGVidWdnaW5nIGZlYXR1cmUgc2V0"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFUkFURV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgbWV0aG9k"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEU=::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURQ==::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURQ==::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURQ==::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEVfQVBQTElFRA==::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURV9BUFBMSUVE::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURV9BUFBMSUVE::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURV9BUFBMSUVE::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJUw==::MC4w::dElT"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSA==::MC4w::dElI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REUw==::MC4w::dERT"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESA==::MC4w::dERI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJU19BUFBMSUVE::MC4zNA==::dElT"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSF9BUFBMSUVE::MC4yNA==::dElI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REU19BUFBMSUVE::MC4xOA==::dERT"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESF9BUFBMSUVE::MC4xNjU=::dERI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0lTSV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgTWV0aG9k"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1U=::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT04=::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUU=::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTg==::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRQ==::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1VfQVBQTElFRA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSF9BUFBMSUVE::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT05fQVBQTElFRA==::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUVfQVBQTElFRA==::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTl9BUFBMSUVE::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRV9BUFBMSUVE::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBEUS9EUVMgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBBZGRyZXNzL0NvbW1hbmQgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9DS19ERUxBWQ==::MC42::TWF4aW11bSBDSyBkZWxheSB0byBESU1NL2RldmljZQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9EUVNfREVMQVk=::MC42::TWF4aW11bSBEUVMgZGVsYXkgdG8gRElNTS9kZXZpY2U="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU4=::LTAuMDE=::TWluaW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA==::LTAuMDE=::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVg=::MC4wMQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA==::MC4wMQ==::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NUw==::MC4wNQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRElNTXMvZGV2aWNlcw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE::MC4w::VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfV0lUSElOX0RRUw==::MC4wMg==::TWF4aW11bSBza2V3IHdpdGhpbiBEUVMgZ3JvdXA="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9EUVM=::MC4wMg==::TWF4aW11bSBza2V3IGJldHdlZW4gRFFTIGdyb3Vwcw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1RPX0RRU19TS0VX::MC4w::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRFEgYW5kIERRUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NLRVc=::MC4wMg==::TWF4aW11bSBza2V3IHdpdGhpbiBhZGRyZXNzIGFuZCBjb21tYW5kIGJ1cw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1RPX0NLX1NLRVc=::MC4w::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gYWRkcmVzcyBhbmQgY29tbWFuZCBhbmQgQ0s="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkFURQ==::RnVsbA==::UmF0ZSBvbiBBdmFsb24tTU0gaW50ZXJmYWNl"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVR::MzAwLjA=::TWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUQ==::ZmFsc2U=::VXNlIHNwZWNpZmllZCBmcmVxdWVuY3kgaW5zdGVhZCBvZiBjYWxjdWxhdGVkIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0RRU19UUkFDS0lORw==::ZmFsc2U=::VVNFX0RRU19UUkFDS0lORw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfRFFTX1RSQUNLSU5H::QVVUTw==::Rm9yY2UgRFFTIFRyYWNraW5nIEVuYWJsZWQvRGlzYWJsZWQ="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0hQU19EUVNfVFJBQ0tJTkc=::ZmFsc2U=::VVNFX0hQU19EUVNfVFJBQ0tJTkc="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJLX1BBUkFMTEVMX1NDQ19MT0FE::ZmFsc2U=::VFJLX1BBUkFMTEVMX1NDQ19MT0FE"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1NIQURPV19SRUdT::ZmFsc2U=::VVNFX1NIQURPV19SRUdT"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0hBRE9XX1JFR1M=::QVVUTw==::U2hhZG93IFJlZ2lzdGVycw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFfRERS::MQ==::RFFfRERS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUREUl9DTURfRERS::MA==::QUREUl9DTURfRERS"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1JBVEVfUkFUSU8=::MQ==::QUZJX1JBVEVfUkFUSU8="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REFUQV9SQVRFX1JBVElP::Mg==::REFUQV9SQVRFX1JBVElP"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUREUl9SQVRFX1JBVElP::MQ==::QUREUl9SQVRFX1JBVElP"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0FERFJfV0lEVEg=::MTM=::QUZJX0FERFJfV0lEVEg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0JBTktBRERSX1dJRFRI::Mw==::QUZJX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NPTlRST0xfV0lEVEg=::MQ==::QUZJX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NTX1dJRFRI::MQ==::QUZJX0NTX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19FTl9XSURUSA==::MQ==::QUZJX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0RNX1dJRFRI::NA==::QUZJX0RNX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0RRX1dJRFRI::MzI=::QUZJX0RRX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX09EVF9XSURUSA==::MQ==::QUZJX09EVF9XSURUSA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1dSSVRFX0RRU19XSURUSA==::Mg==::QUZJX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1JMQVRfV0lEVEg=::Ng==::QUZJX1JMQVRfV0lEVEg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1dMQVRfV0lEVEg=::Ng==::QUZJX1dMQVRfV0lEVEg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1JSQU5LX1dJRFRI::Mg==::QUZJX1JSQU5LX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1dSQU5LX1dJRFRI::Mg==::QUZJX1dSQU5LX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19QQUlSX0NPVU5U::MQ==::QUZJX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw==::ZmFsc2U=::TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ==::Q1lDTE9ORVY=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJFX1ZfU0VSSUVTX0ZBTUlMWQ==::ZmFsc2U=::UFJFX1ZfU0VSSUVTX0ZBTUlMWQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ==::Q1lDTE9ORVY=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWV9QQVJBTQ==::Q3ljbG9uZSBW::REVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREU=::OA==::U3BlZWQgR3JhZGU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNF::ZmFsc2U=::RW5naW5lZXJpbmcgU2FtcGxlIChFUykgRGV2aWNl"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RElTQUJMRV9DSElMRF9NRVNTQUdJTkc=::dHJ1ZQ==::RElTQUJMRV9DSElMRF9NRVNTQUdJTkc="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEFSRF9QSFk=::dHJ1ZQ==::SEFSRF9QSFk="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEFSRF9FTUlG::dHJ1ZQ==::RW5hYmxlIEhhcmQgRXh0ZXJuYWwgTWVtb3J5IEludGVyZmFjZQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQUw==::ZmFsc2U=::SEhQX0hQUw=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19WRVJJRklDQVRJT04=::ZmFsc2U=::SEhQX0hQU19WRVJJRklDQVRJT04="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19TSU1VTEFUSU9O::ZmFsc2U=::SEhQX0hQU19TSU1VTEFUSU9O"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SFBTX1BST1RPQ09M::REVGQVVMVA==::TWVtb3J5IFByb3RvY29s"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1VUX05FV19GQU1JTFlfVElNSU5H::dHJ1ZQ==::Q1VUX05FV19GQU1JTFlfVElNSU5H"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX0RFTEFZX0NUUkxfV0lEVEg=::Nw==::RExMX0RFTEFZX0NUUkxfV0lEVEg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX09GRlNFVF9DVFJMX1dJRFRI::Ng==::RExMX09GRlNFVF9DVFJMX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfQlVGRkVSX01PREU=::SElHSA==::REVMQVlfQlVGRkVSX01PREU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfQ0hBSU5fTEVOR1RI::OA==::REVMQVlfQ0hBSU5fTEVOR1RI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::RExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "T0NUX1RFUk1fQ09OVFJPTF9XSURUSA==::MTY=::T0NUX1RFUk1fQ09OVFJPTF9XSURUSA=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "T0NUX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::T0NUIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYUE9SVF9TRVFfREVCVUdfQlJJREdF::ZmFsc2U=::RW5hYmxlIEVNSUYgT24tQ2hpcCBEZWJ1ZyBQb3J0"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09SRV9ERUJVR19DT05ORUNUSU9O::RVhQT1JU::RU1JRiBPbi1DaGlwIERlYnVnIFBvcnQgaW50ZXJmYWNlIHR5cGU="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUREX0VYVEVSTkFMX1NFUV9ERUJVR19OSU9T::ZmFsc2U=::QWRkIGV4dGVybmFsIE5pb3MgdG8gY29ubmVjdCB0byBzZXF1ZW5jZXIgZGVidWcgaW50ZXJmYWNl"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RURfRVhQT1JUX1NFUV9ERUJVRw==::ZmFsc2U=::RXhwb3J0IHNlcXVlbmNlciBkZWJ1ZyBpbnRlcmZhY2UgZnJvbSBleGFtcGxlIGRlc2lnbg=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUREX0VGRklDSUVOQ1lfTU9OSVRPUg==::ZmFsc2U=::RW5hYmxlIHRoZSBFZmZpY2llbmN5IE1vbml0b3IgYW5kIFByb3RvY29sIENoZWNrZXIgb24gdGhlIENvbnRyb2xsZXIgQXZhbG9uIEludGVyZmFjZQ=="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX01BWF9XUklURV9MQVRFTkNZX0NPVU5UX1dJRFRI::Ng==::QUZJX01BWF9XUklURV9MQVRFTkNZX0NPVU5UX1dJRFRI"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX01BWF9SRUFEX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::Ng==::QUZJX01BWF9SRUFEX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEg=::MzI=::QVZMX0RBVEFfV0lEVEg="
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEg=::MTM=::QVZMX0FERFJfV0lEVEg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19wMA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "RERSMyBTRFJBTSBFeHRlcm5hbCBNZW1vcnkgSGFyZCBQSFkgQ29yZQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "RERSMyBTRFJBTSBFeHRlcm5hbCBNZW1vcnkgSGFyZCBQSFkgQ29yZQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMA==::MDAwMTAwMDExMDAwMQ==::QUNfUk9NX01SMA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9NSVJS::MDAwMTAwMTAwMTAwMQ==::QUNfUk9NX01SMF9NSVJS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVQ=::MDAwMTEwMDExMDAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVQ="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg==::MDAwMTAxMTAwMTAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMQ==::MDAwMDAwMTAwMDAwMA==::QUNfUk9NX01SMQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMV9NSVJS::MDAwMDAwMDEwMDAwMA==::QUNfUk9NX01SMV9NSVJS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMg==::MDAwMDAwMDAwMTAwMA==::QUNfUk9NX01SMg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMl9NSVJS::MDAwMDAwMDAxMDAwMA==::QUNfUk9NX01SMl9NSVJS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMw==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SM19NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SM19NSVJS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ::dHJ1ZQ==::VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0JM::MQ==::TVIwX0JM"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0JU::MA==::TVIwX0JU"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0NBU19MQVRFTkNZ::Mw==::TVIwX0NBU19MQVRFTkNZ"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0RMTA==::MQ==::TVIwX0RMTA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX1dS::MQ==::TVIwX1dS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX1BE::MA==::TVIwX1BE"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX0RMTA==::MA==::TVIxX0RMTA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX09EUw==::MA==::TVIxX09EUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1JUVA==::Mg==::TVIxX1JUVA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX0FM::MA==::TVIxX0FM"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1dM::MA==::TVIxX1dM"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1REUVM=::MA==::TVIxX1REUVM="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1FPRkY=::MA==::TVIxX1FPRkY="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX0RRUw==::MA==::TVIxX0RRUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1JEUVM=::MA==::TVIxX1JEUVM="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX0NXTA==::MQ==::TVIyX0NXTA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX0FTUg==::MA==::TVIyX0FTUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX1NSVA==::MA==::TVIyX1NSVA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX1NSRg==::MA==::TVIyX1NSRg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX1JUVF9XUg==::MA==::TVIyX1JUVF9XUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9SRg==::MA==::TVIzX01QUl9SRg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIzX01QUg==::MA==::TVIzX01QUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9BQQ==::MA==::TVIzX01QUl9BQQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JFQURfRFFTX1dJRFRI::Mg==::TUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSSVRFX0RRU19XSURUSA==::Mg==::TUVNX0lGX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0NDX0RBVEFfV0lEVEg=::MQ==::U0NDX0RBVEFfV0lEVEg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEg=::MTM=::TUVNX0lGX0FERFJfV0lEVEg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEhfTUlO::MTM=::TUVNX0lGX0FERFJfV0lEVEhfTUlO"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JPV19BRERSX1dJRFRI::MTM=::TUVNX0lGX1JPV19BRERSX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTF9BRERSX1dJRFRI::MTA=::TUVNX0lGX0NPTF9BRERSX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1dJRFRI::Mg==::TUVNX0lGX0RNX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9SQU5L::MQ==::TUVNX0lGX0NTX1BFUl9SQU5L"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX05VTUJFUl9PRl9SQU5LUw==::MQ==::TUVNX0lGX05VTUJFUl9PRl9SQU5LUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9ESU1N::MQ==::TUVNX0lGX0NTX1BFUl9ESU1N"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTlRST0xfV0lEVEg=::MQ==::TUVNX0lGX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JVUlNUX0xFTkdUSA==::OA==::TUVNX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0xFVkVMSU5H::ZmFsc2U=::TUVNX0xFVkVMSU5H"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU19XSURUSA==::Mg==::TnVtYmVyIG9mIERRUyBncm91cHM="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1dJRFRI::MQ==::TUVNX0lGX0NTX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NISVBfQklUUw==::MQ==::TUVNX0lGX0NISVBfQklUUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JBTktBRERSX1dJRFRI::Mw==::TUVNX0lGX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRX1dJRFRI::MTY=::TUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NLX1dJRFRI::MQ==::TUVNX0lGX0NLX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19FTl9XSURUSA==::MQ==::TUVNX0lGX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19QQUlSX0NPVU5U::MQ==::TUVNX0lGX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX1dJRFRI::MQ==::REVWSUNFX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfTlM=::MS41::TUVNX0NMS19NQVhfTlM="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfUFM=::MTUwMC4w::TUVNX0NMS19NQVhfUFM="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQw==::MTU=::TUVNX1RSQw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVM=::MTE=::TUVNX1RSQVM="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0Q=::NQ==::TUVNX1RSQ0Q="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUA==::NQ==::TUVNX1RSUA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJ::MjM0MQ==::TUVNX1RSRUZJ"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkM=::MzQ=::TUVNX1RSRkM="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0Q=::MQ==::Q0ZHX1RDQ0Q="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RXUg==::NQ==::TUVNX1RXUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVc=::MTQ=::TUVNX1RGQVc="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkQ=::Mw==::TUVNX1RSUkQ="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFA=::Mw==::TUVNX1RSVFA="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ==::NDUw::TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ==::MTAwMDAw::TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX09EVF9XSURUSA==::MQ==::TUVNX0lGX09EVF9XSURUSA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0xfSU5U::Ng==::TUVNX1dUQ0xfSU5U"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RkxZX0JZ::dHJ1ZQ==::RkxZX0JZ"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkRJTU0=::ZmFsc2U=::UkRJTU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFJESU1N::ZmFsc2U=::TFJESU1N"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkRJTU1fSU5U::MA==::UkRJTU1fSU5U"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFJESU1NX0lOVA==::MA==::TFJESU1NX0lOVA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0xSRElNTV9STQ==::MA==::TUVNX0lGX0xSRElNTV9STQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU::Mg==::TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU::Mw==::TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw==::MA==::Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw==::MA==::Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::Mg==::Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RZUEU=::RERSMw==::TUVNX1RZUEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw==::MA==::TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0xfSU5U::MA==::TUVNX0FUQ0xfSU5U"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::TUVNX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0xSRElNTV9FTkFCTEVE::ZmFsc2U=::TUVNX0xSRElNTV9FTkFCTEVE"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1ZFTkRPUg==::TWljcm9u::TWVtb3J5IHZlbmRvcg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkRJTU1fQ09ORklH::MA==::RERSMyBSRElNTS9MUkRJTU0gQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFJESU1NX0VYVEVOREVEX0NPTkZJRw==::MHgw::TFJESU1NIEFkZGl0aW9uYWwgQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RElTQ1JFVEVfRkxZX0JZ::dHJ1ZQ==::Rmx5LWJ5IHRvcG9sb2d5"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX0RFUFRI::MQ==::TnVtYmVyIG9mIGNoaXAgc2VsZWN0cw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5H::MA==::TWlycm9yIEFkZHJlc3Npbmc6IDEgcGVyIGNoaXAgc2VsZWN0"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX01BWA==::NjY2LjY2Nw==::TWVtb3J5IGRldmljZSBzcGVlZCBncmFkZQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JPV19BRERSX1dJRFRI::MTM=::Um93IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NPTF9BRERSX1dJRFRI::MTA=::Q29sdW1uIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1dJRFRI::MTY=::VG90YWwgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1BFUl9EUVM=::OA==::RFEvRFFTIGdyb3VwIHNpemU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JBTktBRERSX1dJRFRI::Mw==::QmFuay1hZGRyZXNzIHdpZHRo"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1BJTlNfRU4=::dHJ1ZQ==::RW5hYmxlIERNIHBpbnM="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU05fRU4=::dHJ1ZQ==::RFFTIyBFbmFibGU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9ESU1NUw==::MQ==::TnVtYmVyIG9mIHNsb3Rz"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfRElNTQ==::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBzbG90"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfREVWSUNF::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBkZXZpY2U="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JBTktfTVVMVElQTElDQVRJT05fRkFDVE9S::MQ==::KERlcHJlY2F0ZWQpIExSRElNTSBSYW5rIE11bHRpcGxpY2F0aW9uIEZhY3Rvcg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1dJRFRI::MQ==::TnVtYmVyIG9mIGNsb2Nrcw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NTX1dJRFRI::MQ==::TnVtYmVyIG9mIGNoaXAtc2VsZWN0cyBwZXIgZGV2aWNlL0RJTU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19FTl9XSURUSA==::MQ==::TnVtYmVyIG9mIGNsb2NrIGVuYWJsZXMgcGVyIGRldmljZS9ESU1N"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUxUTUVNUEhZX0NPTVBBVElCTEVfTU9ERQ==::ZmFsc2U=::QWxsb3cgdGhlIG1lbW9yeSBtb2RlbCB0byBiZSB1c2UgaW4gQUxUTUVNUEhZ"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TkVYVEdFTg==::dHJ1ZQ==::RW5hYmxlIDExLjAgZXh0cmEgY29udHJvbGxlciBmZWF0dXJlcw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JPQVJEX0JBU0VfREVMQVk=::MTA=::QmFzZSBib2FyZCBkZWxheSBmb3IgYm9hcmQgZGVsYXkgbW9kZWw="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c=::MA==::TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA==::ZmFsc2U=::TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1ZFUkJPU0U=::dHJ1ZQ==::RW5hYmxlIHZlcmJvc2UgbWVtb3J5IG1vZGVsIG91dHB1dA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UElOR1BPTkdQSFlfRU4=::ZmFsc2U=::RW5hYmxlIFBpbmcgUG9uZyBQSFk="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX0FD::ZmFsc2U=::RHVwbGljYXRlIEFkZHJlc3MgYW5kIENvbW1hbmQgU2lnbmFscw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9CVVJTVF9WQUxJREFUSU9O::ZmFsc2U=::RW5hYmxlIG1lbW9yeSBtb2RlbCByZWZyZXNoIGJ1cnN0IHZhbGlkYXRpb24="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVBfTU9ERV9FTg==::MA==::QVBfTU9ERV9FTg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVBfTU9ERQ==::ZmFsc2U=::QVBfTU9ERQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JM::T1RG::QnVyc3QgTGVuZ3Ro"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JU::U2VxdWVudGlhbA==::UmVhZCBCdXJzdCBUeXBl"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FTUg==::TWFudWFs::QXV0byBzZWxmcmVmcmVzaCBtZXRob2Q="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1NSVA==::Tm9ybWFs::U2VsZnJlZnJlc2ggdGVtcGVyYXR1cmU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1BE::RExMIG9mZg==::RExMIHByZWNoYXJnZSBwb3dlciBkb3du"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RSVl9TVFI=::UlpRLzY=::T3V0cHV0IGRyaXZlIHN0cmVuZ3RoIHNldHRpbmc="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RMTF9FTg==::dHJ1ZQ==::RW5hYmxlIHRoZSBETEwgaW4gbWVtb3J5IGRldmljZXM="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9OT00=::UlpRLzI=::T0RUIFJ0dCBub21pbmFsIHZhbHVl"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9XUg==::RHluYW1pYyBPRFQgb2Zm::RHluYW1pYyBPRFQgKFJ0dF9XUikgdmFsdWU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0w=::Ng==::TWVtb3J5IHdyaXRlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0w=::RGlzYWJsZWQ=::TWVtb3J5IGFkZGl0aXZlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RDTA==::Nw==::TWVtb3J5IENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fTEVWRUxJTkdfTU9ERQ==::dHJ1ZQ==::QXV0b2xldmVsaW5nIHNlbGVjdGlvbg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1VTRVJfTEVWRUxJTkdfTU9ERQ==::TGV2ZWxpbmc=::TGV2ZWxpbmcgaW50ZXJmYWNlIG1vZGU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lOSVRfRU4=::ZmFsc2U=::RW5hYmxlcyBtZW1vcnkgY29udGVudCBpbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJUw==::MTkw::dElTIChiYXNlKQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJSA==::MTQw::dElIIChiYXNlKQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUw==::MzA=::dERTIChiYXNlKQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RESA==::NjU=::dERIIChiYXNlKQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNR::MTI1::dERRU1E="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSA==::MC4zOA==::dFFI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDSw==::MjU1::dERRU0NL"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RT::NDUw::dERRU0NLIERlbHRhIFNob3J0"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RN::OTAw::dERRU0NLIERlbHRhIE1lZGl1bQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RM::MTIwMA==::dERRU0NLIERlbHRhIExvbmc="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNT::MC4yNQ==::dERRU1M="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRU0g=::MC40::dFFTSA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU0g=::MC4y::dERTSA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU1M=::MC4y::dERTUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX1VT::NTAw::dElOSVQ="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX0NL::MTUwMDAw::TUVNX1RJTklUX0NL"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1REUVNDSw==::MQ==::TUVNX1REUVNDSw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RNUkRfQ0s=::NA==::dE1SRA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVNfTlM=::MzYuMA==::dFJBUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0RfTlM=::MTMuNQ==::dFJDRA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUF9OUw==::MTMuNQ==::dFJQ"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJX1VT::Ny44::dFJFRkk="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkNfTlM=::MTEwLjA=::dFJGQw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0RfTlM=::Mi41::dENDRA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RXUl9OUw==::MTUuMA==::dFdS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RXVFI=::NQ==::dFdUUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVdfTlM=::NDUuMA==::dEZBVw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkRfTlM=::Ny41::dFJSRA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFBfTlM=::Ny41::dFJUUA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw==::ZmFsc2U=::Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0RSX0NMSw==::ZmFsc2U=::VVNFX0RSX0NMSw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX1VTRV9EUl9DTEs=::ZmFsc2U=::RExMX1VTRV9EUl9DTEs="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFXzJYX0ZG::ZmFsc2U=::VVNFXzJYX0ZG"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFVBTF9XUklURV9DTE9DSw==::ZmFsc2U=::RFVBTF9XUklURV9DTE9DSw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "R0VORVJJQ19QTEw=::dHJ1ZQ==::R0VORVJJQ19QTEw="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0hBUkRfUkVBRF9GSUZP::ZmFsc2U=::VVNFX0hBUkRfUkVBRF9GSUZP"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX0hBTEZfUkFURQ==::ZmFsc2U=::UkVBRF9GSUZPX0hBTEZfUkFURQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01BU1RFUg==::dHJ1ZQ==::UExMX01BU1RFUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX01BU1RFUg==::dHJ1ZQ==::RExMX01BU1RFUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEhZX1ZFUlNJT05fTlVNQkVS::MTUx::UEhZX1ZFUlNJT05fTlVNQkVS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfT0NJ::ZmFsc2U=::RU5BQkxFX05JT1NfT0NJ"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfSlRBR19NQVNURVI=::dHJ1ZQ==::RU5BQkxFX0VNSVRfSlRBR19NQVNURVI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfSlRBR19VQVJU::ZmFsc2U=::RU5BQkxFX05JT1NfSlRBR19VQVJU"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA==::ZmFsc2U=::RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI=::ZmFsc2U=::RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfQkZNX01BU1RFUg==::ZmFsc2U=::RU5BQkxFX0VNSVRfQkZNX01BU1RFUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF::ZmFsc2U=::Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA==::ZmFsc2U=::RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0=::ZmFsc2U=::RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU=::ZmFsc2U=::TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc=::ZmFsc2U=::REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ==::dHJ1ZQ==::RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw==::dHJ1ZQ==::RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NQ==::TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVBRF9WQUxJRF9GSUZPX1NJWkU=::MTY=::UkVBRF9WQUxJRF9GSUZPX1NJWkU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RVhUUkFfVkZJRk9fU0hJRlQ=::MA==::RVhUUkFfVkZJRk9fU0hJRlQ="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0NMS19GUkVR::MzAwLjA=::VEJfTUVNX0NMS19GUkVR"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfUkFURQ==::RlVMTA==::VEJfUkFURQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX0RRX1dJRFRI::MTY=::VEJfTUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI::Mg==::VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfUExMX0RMTF9NQVNURVI=::dHJ1ZQ==::VEJfUExMX0RMTF9NQVNURVI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RkFTVF9TSU1fQ0FMSUJSQVRJT04=::ZmFsc2U=::RkFTVF9TSU1fQ0FMSUJSQVRJT04="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVR::NTAuMA==::UExMIHJlZmVyZW5jZSBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1NUUg==::NTAuMCBNSHo=::UkVGX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19OUw==::MjAuMA==::UkVGX0NMS19OUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19QUw==::MjAwMDAuMA==::UkVGX0NMS19QUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVR::MC4w::UExMX0RSX0NMS19GUkVR"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX0RSX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QUw==::MA==::UExMX0RSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0RSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxU::MA==::UExMX0RSX0NMS19NVUxU"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVY=::MA==::UExMX0RSX0NMS19ESVY="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUQ==::MzAwLjA=::QWNoaWV2ZWQgbWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TVFI=::MzAwLjAgTUh6::UExMX01FTV9DTEtfRlJFUV9TVFI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RS::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFM=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVH::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVA==::NjAwMDAwMA==::UExMX01FTV9DTEtfTVVMVA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElW::MTAwMDAwMA==::UExMX01FTV9DTEtfRElW"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUQ==::MzAwLjA=::QWNoaWV2ZWQgbG9jYWwgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TVFI=::MzAwLjAgTUh6::UExMX0FGSV9DTEtfRlJFUV9TVFI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RS::MzMzNCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFM=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVH::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVA==::NjAwMDAwMA==::UExMX0FGSV9DTEtfTVVMVA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElW::MTAwMDAwMA==::UExMX0FGSV9DTEtfRElW"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVR::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVR"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX1dSSVRFX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QUw==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TVFI=::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUc=::MjcwLjA=::UExMX1dSSVRFX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0=::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RS::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN::MjcwLjA=::UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxU::NjAwMDAwMA==::UExMX1dSSVRFX0NMS19NVUxU"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVY=::MTAwMDAwMA==::UExMX1dSSVRFX0NMS19ESVY="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVR::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVR"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX0FERFJfQ01EX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw==::MjUwMA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TVFI=::MjUwMCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc=::MjcwLjA=::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0=::MjUwMA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RS::MjUwMCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN::MjcwLjA=::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxU::NjAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19NVUxU"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVY=::MTAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19ESVY="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVR::MTUwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVR"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NUUg==::MTUwLjAgTUh6::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFI=::NjY2OCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TVFI=::MCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RS::MCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxU::NjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19NVUxU"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVY=::MjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19ESVY="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVE=::NjAuMA==::UExMX05JT1NfQ0xLX0ZSRVE="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU1RS::NjAuMCBNSHo=::UExMX05JT1NfQ0xLX0ZSRVFfU1RS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUg==::MTY2NzAgcHM=::UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BT::NDE2::UExMX05JT1NfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NUUg==::NDE2IHBz::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NUUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFRw==::OS4w::UExMX05JT1NfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ==::NDYz::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFI=::NDYzIHBz::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0=::MTAuMA==::UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFQ=::NjAwMDAwMA==::UExMX05JT1NfQ0xLX01VTFQ="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVg==::NTAwMDAwMA==::UExMX05JT1NfQ0xLX0RJVg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUQ==::MjAuMA==::UExMX0NPTkZJR19DTEtfRlJFUQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TVFI=::MjAuMCBNSHo=::UExMX0NPTkZJR19DTEtfRlJFUV9TVFI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RS::NTAwMTAgcHM=::UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFM=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVH::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVA==::NjAwMDAwMA==::UExMX0NPTkZJR19DTEtfTVVMVA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElW::MTUwMDAwMDA=::UExMX0NPTkZJR19DTEtfRElW"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVR::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVR"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX1AyQ19SRUFEX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc=::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxU::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxU"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVY=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVY="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9TSU1fU1RS::MCBwcw==::UExMX0MyUF9XUklURV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVA==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElW::MA==::UExMX0MyUF9XUklURV9DTEtfRElW"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVR::MC4w::UExMX0hSX0NMS19GUkVR"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX0hSX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QUw==::MA==::UExMX0hSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0hSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxU::MA==::UExMX0hSX0NMS19NVUxU"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVY=::MA==::UExMX0hSX0NMS19ESVY="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVE=::MzAwLjA=::UExMX0FGSV9QSFlfQ0xLX0ZSRVE="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU1RS::MzAwLjAgTUh6::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU1RS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUg==::MzMzNCBwcw==::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NUUg==::MCBwcw==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NUUg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFI=::MCBwcw==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFQ=::NjAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX01VTFQ="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVg==::MTAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX0RJVg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE::dHJ1ZQ==::UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE::ZmFsc2U=::UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9QQVJBTQ==::MC4w::UkVGX0NMS19GUkVRX01JTl9QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9QQVJBTQ==::MC4w::UkVGX0NMS19GUkVRX01BWF9QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9DQUNIRQ==::MTAuMA==::UkVGX0NMS19GUkVRX01JTl9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9DQUNIRQ==::NTAwLjA=::UkVGX0NMS19GUkVRX01BWF9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0RSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0RSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0RSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0RSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0RSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0RSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX01FTV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX01FTV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX1BBUkFN::MA==::UExMX01FTV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9DQUNIRQ==::MzAwLjA=::UExMX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9DQUNIRQ==::NjAwMDAwMA==::UExMX01FTV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX0NBQ0hF::MTAwMDAwMA==::UExMX01FTV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX1BBUkFN::MA==::UExMX0FGSV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ==::MzAwLjA=::UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::MzMzNCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ==::NjAwMDAwMA==::UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX0NBQ0hF::MTAwMDAwMA==::UExMX0FGSV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN::MA==::UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfUEFSQU0=::MA==::UExMX1dSSVRFX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF::NjAwMDAwMA==::UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU=::MTAwMDAwMA==::UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN::MA==::UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0=::MA==::UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ==::MjUwMA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MjUwMCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF::NjAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU=::MTAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN::MA==::UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0=::MA==::UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF::MTUwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::NjY2OCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF::NjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU=::MjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX05JT1NfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU=::NjAuMA==::UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUl9DQUNIRQ==::MTY2NzAgcHM=::UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF::NDE2::UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfQ0FDSEU=::NDYzIHBz::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU=::NjAwMDAwMA==::UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ==::NTAwMDAwMA==::UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX1BBUkFN::MA==::UExMX0NPTkZJR19DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ==::MjAuMA==::UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::NTAwMTAgcHM=::UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ==::NjAwMDAwMA==::UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF::MTUwMDAwMDA=::UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0hSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0hSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0hSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0hSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0hSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0hSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU=::MzAwLjA=::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUl9DQUNIRQ==::MzMzNCBwcw==::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfQ0FDSEU=::MCBwcw==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU=::NjAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ==::MTAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREVfQ0FDSEU=::OA==::U1BFRURfR1JBREVfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNFX0NBQ0hF::ZmFsc2U=::SVNfRVNfREVWSUNFX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::TUVNX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hF::NTAuMA==::UkVGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkFURV9DQUNIRQ==::RnVsbA==::UkFURV9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SENYX0NPTVBBVF9NT0RFX0NBQ0hF::ZmFsc2U=::SENYX0NPTVBBVF9NT0RFX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ==::Q1lDTE9ORVY=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09NTUFORF9QSEFTRV9DQUNIRQ==::MC4w::Q09NTUFORF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1BIQVNFX0NBQ0hF::MC4w::TUVNX0NLX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF::MC4w::UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ==::MC4w::QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF::MC4w::QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEVfQ0FDSEU=::TklPUw==::U0VRVUVOQ0VSX1RZUEVfQ0FDSEU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ==::ZmFsc2U=::VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NMS19DQUNIRV9WQUxJRA==::dHJ1ZQ==::UExMX0NMS19DQUNIRV9WQUxJRA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NMS19QQVJBTV9WQUxJRA==::ZmFsc2U=::UExMX0NMS19QQVJBTV9WQUxJRA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYVFJBX1JFUE9SVElORw==::ZmFsc2U=::RU5BQkxFX0VYVFJBX1JFUE9SVElORw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX0VYVFJBX1JFUE9SVF9QQVRI::MTA=::TlVNX0VYVFJBX1JFUE9SVF9QQVRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0lTU19QUk9CRVM=::ZmFsc2U=::RU5BQkxFX0lTU19QUk9CRVM="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0FMSUJfUkVHX1dJRFRI::OA==::Q0FMSUJfUkVHX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1NFUVVFTkNFUl9CRk0=::ZmFsc2U=::VVNFX1NFUVVFTkNFUl9CRk0="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::UExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0FGSV9IQUxGX0NMSw==::ZmFsc2U=::RW5hYmxlIEFGSSBoYWxmIHJhdGUgY2xvY2s="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q=::ZmFsc2U=::QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA==::ZmFsc2U=::SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw=::ZmFsc2U=::SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZX0lOVEVSTkFM::ZmFsc2U=::VVNFX0ZBS0VfUEhZX0lOVEVSTkFM"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZ::ZmFsc2U=::VXNlIEZha2UgUEhZ"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::MA==::Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF::ZmFsc2U=::VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNUUlVDVElWRV9DQUxJQg==::ZmFsc2U=::RW5hYmxlcyBOb24tRGVzdHJ1Y3RpdmUgQ2FsaWJyYXRpb24="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1VTRVJfUkRJTU1fVkFMVUU=::ZmFsc2U=::VVNFX1VTRVJfUkRJTU1fVkFMVUU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF::ZmFsc2U=::RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfRVJST1JfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfRVJST1JfVEVTVA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfV0FUQ0hfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfV0FUQ0hfVEVTVA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFSR0lOX1ZBUklBVElPTl9URVNU::ZmFsc2U=::TUFSR0lOX1ZBUklBVElPTl9URVNU"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzA=::MF8wMDAwXzAwMDBfMDAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAx"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzE=::MF8wMDAwXzAwMDBfMTAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAy"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJFRkk=::MzUxMDA=::VHJlZmkgeCA5"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9JTlRFUlZBTA==::MTUwMDA=::UmVmcmVzaCBJbnRlcnZhbA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q=::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJGQw==::MzUw::VHJmYw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FM::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FM"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0NTUl9QT1JU::ZmFsc2U=::RVhQT1JUX0NTUl9QT1JU"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1NSX0FERFJfV0lEVEg=::OA==::Q1NSX0FERFJfV0lEVEg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1NSX0RBVEFfV0lEVEg=::MzI=::Q1NSX0RBVEFfV0lEVEg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1NSX0JFX1dJRFRI::NA==::Q1NSX0JFX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RFVklDRQ==::TUlTU0lOR19NT0RFTA==::TUVNX0RFVklDRQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw==::MQ==::TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw==::MQ==::UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UVZMRF9XUl9BRERSRVNTX09GRlNFVA==::NQ==::UVZMRF9XUl9BRERSRVNTX09GRlNFVA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NA==::TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM=::MQ==::TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM=::MA==::Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O::dHJ1ZQ==::UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VRX0JVUlNUX0NPVU5UX1dJRFRI::Mg==::U0VRX0JVUlNUX0NPVU5UX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkNBTElCX0NPVU5UX1dJRFRI::Mg==::VkNBTElCX0NPVU5UX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1BIQVNFX0NPVU5URVJfV0lEVEg=::NA==::UExMX1BIQVNFX0NPVU5URVJfV0lEVEg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc=::MA==::RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX1BIQVNFX1NISUZU::MA==::RFFTX1BIQVNFX1NISUZU"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H::Mg==::REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0lOX1JFU0VSVkU=::NA==::SU9fRFFTX0lOX1JFU0VSVkU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFTX09VVF9SRVNFUlZF::NA==::SU9fRFFTX09VVF9SRVNFUlZF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFfT1VUX1JFU0VSVkU=::MA==::SU9fRFFfT1VUX1JFU0VSVkU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRE1fT1VUX1JFU0VSVkU=::MA==::SU9fRE1fT1VUX1JFU0VSVkU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX0RFTEFZX09GRlNFVA==::MA==::SU9fRFFTX0VOX0RFTEFZX09GRlNFVA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX1BIQVNFX01BWA==::Nw==::SU9fRFFTX0VOX1BIQVNFX01BWA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFEUVNfT1VUX1BIQVNFX01BWA==::MA==::SU9fRFFEUVNfT1VUX1BIQVNFX01BWA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT::ZmFsc2U=::SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M=::ZmFsc2U=::SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19OUw==::My4zMzM=::TUVNX0NMS19OUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19QUw==::MzMzMy4w::TUVNX0NMS19QUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0FMSUJfTEZJRk9fT0ZGU0VU::OA==::Q0FMSUJfTEZJRk9fT0ZGU0VU"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0FMSUJfVkZJRk9fT0ZGU0VU::Ng==::Q0FMSUJfVkZJRk9fT0ZGU0VU"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX09QQV9UQVA=::NDE2::REVMQVlfUEVSX09QQV9UQVA="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RDSEFJTl9UQVA=::MjU=::REVMQVlfUEVSX0RDSEFJTl9UQVA="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ::MjU=::REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX0VOX0RFTEFZX01BWA==::MzE=::RFFTX0VOX0RFTEFZX01BWA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX0lOX0RFTEFZX01BWA==::MzE=::RFFTX0lOX0RFTEFZX01BWA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fSU5fREVMQVlfTUFY::MzE=::SU9fSU5fREVMQVlfTUFY"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fT1VUMV9ERUxBWV9NQVg=::MzE=::SU9fT1VUMV9ERUxBWV9NQVg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fT1VUMl9ERUxBWV9NQVg=::MA==::SU9fT1VUMl9ERUxBWV9NQVg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fU1RBTkRBUkQ=::U1NUTC0xNQ==::SS9PIHN0YW5kYXJk"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkZJRk9fQVNfU0hJRlRfUkVH::dHJ1ZQ==::VkZJRk9fQVNfU0hJRlRfUkVH"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEU=::TklPUw==::U2VxdWVuY2VyIG9wdGltaXphdGlvbg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TklPU19IRVhfRklMRV9MT0NBVElPTg==::Li4v::TklPU19IRVhfRklMRV9MT0NBVElPTg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw==::ZmFsc2U=::QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U=::dHJ1ZQ==::TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RfV0w=::Ng==::TUVNX1RfV0w="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RfUkw=::Nw==::TUVNX1RfUkw="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEhZX0NMS0JVRg==::ZmFsc2U=::UEhZX0NMS0JVRg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw==::ZmFsc2U=::VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19GT1JfQUREUl9DTUQ=::ZmFsc2U=::VVNFX0xEQ19GT1JfQUREUl9DTUQ="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA==::ZmFsc2U=::RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA==::MA==::TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA==::dHJ1ZQ==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF::MA==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA==::ZmFsc2U=::Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU::ZmFsc2U=::Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVHSVNURVJfQzJQ::ZmFsc2U=::UkVHSVNURVJfQzJQ"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS::dHJ1ZQ==::RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYMTBfUlRMX1NFUQ==::ZmFsc2U=::TUFYMTBfUlRMX1NFUQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VRX01PREU=::MA==::RnVsbC0gb3IgaGFsZi1yYXRlIHNlcXVlbmNlcg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QURWQU5DRURfQ0tfUEhBU0VT::ZmFsc2U=::QWR2YW5jZWQgY2xvY2sgcGhhc2UgY29udHJvbA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgcGVyaXBoZXJ5LXRvLWNvcmUgdHJhbnNmZXI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRQ==::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgY29yZS10by1wZXJpcGhlcnkgdHJhbnNmZXI="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgUEhZIGNsb2NrIHRyZWU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1ZPTFRBR0U=::MS41ViBERFIz::U3VwcGx5IFZvbHRhZ2U="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0tJUF9NRU1fSU5JVA==::dHJ1ZQ==::U2tpcCBNZW1vcnkgSW5pdGlhbGl6YXRpb24gRGVsYXlz"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF::SU5WRVJURURfRFFTX0JVUw==::UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFfSU5QVVRfUkVHX1VTRV9DTEtO::ZmFsc2U=::RFFfSU5QVVRfUkVHX1VTRV9DTEtO"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX0RRU05fTU9ERQ==::RElGRkVSRU5USUFM::RFFTX0RRU05fTU9ERQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0RFQlVHX0lORk9fV0lEVEg=::MzI=::QUZJX0RFQlVHX0lORk9fV0lEVEg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0FMSUJSQVRJT05fTU9ERQ==::UXVpY2s=::QXV0by1jYWxpYnJhdGlvbiBtb2Rl"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TklPU19ST01fREFUQV9XSURUSA==::MzI=::TklPU19ST01fREFUQV9XSURUSA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TklPU19ST01fQUREUkVTU19XSURUSA==::MTM=::TklPU19ST01fQUREUkVTU19XSURUSA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX1NJWkU=::OA==::RGVwdGggb2YgdGhlIHJlYWQgRklGTw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9FTkFCTEVE::dHJ1ZQ==::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9DT05ORUNUSU9O::SU5URVJOQUxfSlRBRw==::Q1NSIHBvcnQgaG9zdCBpbnRlcmZhY2U="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFUl9ERUJVR19MRVZFTA==::MQ==::RGVidWdnaW5nIGZlYXR1cmUgc2V0"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFUkFURV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgbWV0aG9k"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEU=::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURQ==::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURQ==::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURQ==::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEVfQVBQTElFRA==::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURV9BUFBMSUVE::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURV9BUFBMSUVE::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURV9BUFBMSUVE::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJUw==::MC4w::dElT"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSA==::MC4w::dElI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REUw==::MC4w::dERT"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESA==::MC4w::dERI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJU19BUFBMSUVE::MC4zNA==::dElT"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSF9BUFBMSUVE::MC4yNA==::dElI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REU19BUFBMSUVE::MC4xOA==::dERT"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESF9BUFBMSUVE::MC4xNjU=::dERI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0lTSV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgTWV0aG9k"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1U=::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT04=::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUU=::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTg==::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRQ==::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1VfQVBQTElFRA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSF9BUFBMSUVE::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT05fQVBQTElFRA==::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUVfQVBQTElFRA==::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTl9BUFBMSUVE::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRV9BUFBMSUVE::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBEUS9EUVMgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBBZGRyZXNzL0NvbW1hbmQgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9DS19ERUxBWQ==::MC42::TWF4aW11bSBDSyBkZWxheSB0byBESU1NL2RldmljZQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9EUVNfREVMQVk=::MC42::TWF4aW11bSBEUVMgZGVsYXkgdG8gRElNTS9kZXZpY2U="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU4=::LTAuMDE=::TWluaW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA==::LTAuMDE=::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVg=::MC4wMQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA==::MC4wMQ==::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NUw==::MC4wNQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRElNTXMvZGV2aWNlcw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE::MC4w::VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfV0lUSElOX0RRUw==::MC4wMg==::TWF4aW11bSBza2V3IHdpdGhpbiBEUVMgZ3JvdXA="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9EUVM=::MC4wMg==::TWF4aW11bSBza2V3IGJldHdlZW4gRFFTIGdyb3Vwcw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1RPX0RRU19TS0VX::MC4w::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRFEgYW5kIERRUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NLRVc=::MC4wMg==::TWF4aW11bSBza2V3IHdpdGhpbiBhZGRyZXNzIGFuZCBjb21tYW5kIGJ1cw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1RPX0NLX1NLRVc=::MC4w::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gYWRkcmVzcyBhbmQgY29tbWFuZCBhbmQgQ0s="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkFURQ==::RnVsbA==::UmF0ZSBvbiBBdmFsb24tTU0gaW50ZXJmYWNl"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVR::MzAwLjA=::TWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUQ==::ZmFsc2U=::VXNlIHNwZWNpZmllZCBmcmVxdWVuY3kgaW5zdGVhZCBvZiBjYWxjdWxhdGVkIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0RRU19UUkFDS0lORw==::ZmFsc2U=::VVNFX0RRU19UUkFDS0lORw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfRFFTX1RSQUNLSU5H::QVVUTw==::Rm9yY2UgRFFTIFRyYWNraW5nIEVuYWJsZWQvRGlzYWJsZWQ="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0hQU19EUVNfVFJBQ0tJTkc=::ZmFsc2U=::VVNFX0hQU19EUVNfVFJBQ0tJTkc="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJLX1BBUkFMTEVMX1NDQ19MT0FE::ZmFsc2U=::VFJLX1BBUkFMTEVMX1NDQ19MT0FE"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1NIQURPV19SRUdT::ZmFsc2U=::VVNFX1NIQURPV19SRUdT"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0hBRE9XX1JFR1M=::QVVUTw==::U2hhZG93IFJlZ2lzdGVycw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFfRERS::MQ==::RFFfRERS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUREUl9DTURfRERS::MA==::QUREUl9DTURfRERS"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1JBVEVfUkFUSU8=::MQ==::QUZJX1JBVEVfUkFUSU8="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REFUQV9SQVRFX1JBVElP::Mg==::REFUQV9SQVRFX1JBVElP"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUREUl9SQVRFX1JBVElP::MQ==::QUREUl9SQVRFX1JBVElP"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0FERFJfV0lEVEg=::MTM=::QUZJX0FERFJfV0lEVEg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0JBTktBRERSX1dJRFRI::Mw==::QUZJX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NPTlRST0xfV0lEVEg=::MQ==::QUZJX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NTX1dJRFRI::MQ==::QUZJX0NTX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19FTl9XSURUSA==::MQ==::QUZJX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0RNX1dJRFRI::NA==::QUZJX0RNX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0RRX1dJRFRI::MzI=::QUZJX0RRX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX09EVF9XSURUSA==::MQ==::QUZJX09EVF9XSURUSA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1dSSVRFX0RRU19XSURUSA==::Mg==::QUZJX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1JMQVRfV0lEVEg=::Ng==::QUZJX1JMQVRfV0lEVEg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1dMQVRfV0lEVEg=::Ng==::QUZJX1dMQVRfV0lEVEg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1JSQU5LX1dJRFRI::Mg==::QUZJX1JSQU5LX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1dSQU5LX1dJRFRI::Mg==::QUZJX1dSQU5LX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19QQUlSX0NPVU5U::MQ==::QUZJX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw==::ZmFsc2U=::TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ==::Q1lDTE9ORVY=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJFX1ZfU0VSSUVTX0ZBTUlMWQ==::ZmFsc2U=::UFJFX1ZfU0VSSUVTX0ZBTUlMWQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ==::Q1lDTE9ORVY=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWV9QQVJBTQ==::Q3ljbG9uZSBW::REVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREU=::OA==::U3BlZWQgR3JhZGU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNF::ZmFsc2U=::RW5naW5lZXJpbmcgU2FtcGxlIChFUykgRGV2aWNl"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RElTQUJMRV9DSElMRF9NRVNTQUdJTkc=::dHJ1ZQ==::RElTQUJMRV9DSElMRF9NRVNTQUdJTkc="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEFSRF9QSFk=::dHJ1ZQ==::SEFSRF9QSFk="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEFSRF9FTUlG::dHJ1ZQ==::RW5hYmxlIEhhcmQgRXh0ZXJuYWwgTWVtb3J5IEludGVyZmFjZQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQUw==::ZmFsc2U=::SEhQX0hQUw=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19WRVJJRklDQVRJT04=::ZmFsc2U=::SEhQX0hQU19WRVJJRklDQVRJT04="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19TSU1VTEFUSU9O::ZmFsc2U=::SEhQX0hQU19TSU1VTEFUSU9O"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SFBTX1BST1RPQ09M::REVGQVVMVA==::TWVtb3J5IFByb3RvY29s"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1VUX05FV19GQU1JTFlfVElNSU5H::dHJ1ZQ==::Q1VUX05FV19GQU1JTFlfVElNSU5H"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX0RFTEFZX0NUUkxfV0lEVEg=::Nw==::RExMX0RFTEFZX0NUUkxfV0lEVEg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX09GRlNFVF9DVFJMX1dJRFRI::Ng==::RExMX09GRlNFVF9DVFJMX1dJRFRI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfQlVGRkVSX01PREU=::SElHSA==::REVMQVlfQlVGRkVSX01PREU="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfQ0hBSU5fTEVOR1RI::OA==::REVMQVlfQ0hBSU5fTEVOR1RI"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::RExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "T0NUX1RFUk1fQ09OVFJPTF9XSURUSA==::MTY=::T0NUX1RFUk1fQ09OVFJPTF9XSURUSA=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "T0NUX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::T0NUIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEg=::MzI=::QVZMX0RBVEFfV0lEVEg="
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEg=::MTM=::QVZMX0FERFJfV0lEVEg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_NAME "ZGRyM19wbGww"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_DISPLAY_NAME "RERSMyBTRFJBTSBFeHRlcm5hbCBNZW1vcnkgUExML0RMTC9PQ1QgYmxvY2s="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_VERSION "MTUuMQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_DESCRIPTION "RERSMyBTRFJBTSBFeHRlcm5hbCBNZW1vcnkgUExML0RMTC9PQ1QgYmxvY2s="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMA==::MDAwMTAwMDExMDAwMQ==::QUNfUk9NX01SMA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9NSVJS::MDAwMTAwMTAwMTAwMQ==::QUNfUk9NX01SMF9NSVJS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVQ=::MDAwMTEwMDExMDAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVQ="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg==::MDAwMTAxMTAwMTAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMQ==::MDAwMDAwMTAwMDAwMA==::QUNfUk9NX01SMQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMV9NSVJS::MDAwMDAwMDEwMDAwMA==::QUNfUk9NX01SMV9NSVJS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMg==::MDAwMDAwMDAwMTAwMA==::QUNfUk9NX01SMg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMl9NSVJS::MDAwMDAwMDAxMDAwMA==::QUNfUk9NX01SMl9NSVJS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMw==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SM19NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SM19NSVJS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ::dHJ1ZQ==::VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0JM::MQ==::TVIwX0JM"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0JU::MA==::TVIwX0JU"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0NBU19MQVRFTkNZ::Mw==::TVIwX0NBU19MQVRFTkNZ"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX0RMTA==::MQ==::TVIwX0RMTA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX1dS::MQ==::TVIwX1dS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIwX1BE::MA==::TVIwX1BE"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX0RMTA==::MA==::TVIxX0RMTA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX09EUw==::MA==::TVIxX09EUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1JUVA==::Mg==::TVIxX1JUVA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX0FM::MA==::TVIxX0FM"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1dM::MA==::TVIxX1dM"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1REUVM=::MA==::TVIxX1REUVM="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1FPRkY=::MA==::TVIxX1FPRkY="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX0RRUw==::MA==::TVIxX0RRUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIxX1JEUVM=::MA==::TVIxX1JEUVM="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX0NXTA==::MQ==::TVIyX0NXTA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX0FTUg==::MA==::TVIyX0FTUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX1NSVA==::MA==::TVIyX1NSVA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX1NSRg==::MA==::TVIyX1NSRg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIyX1JUVF9XUg==::MA==::TVIyX1JUVF9XUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9SRg==::MA==::TVIzX01QUl9SRg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIzX01QUg==::MA==::TVIzX01QUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9BQQ==::MA==::TVIzX01QUl9BQQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JFQURfRFFTX1dJRFRI::Mg==::TUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSSVRFX0RRU19XSURUSA==::Mg==::TUVNX0lGX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0NDX0RBVEFfV0lEVEg=::MQ==::U0NDX0RBVEFfV0lEVEg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEg=::MTM=::TUVNX0lGX0FERFJfV0lEVEg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEhfTUlO::MTM=::TUVNX0lGX0FERFJfV0lEVEhfTUlO"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JPV19BRERSX1dJRFRI::MTM=::TUVNX0lGX1JPV19BRERSX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTF9BRERSX1dJRFRI::MTA=::TUVNX0lGX0NPTF9BRERSX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1dJRFRI::Mg==::TUVNX0lGX0RNX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9SQU5L::MQ==::TUVNX0lGX0NTX1BFUl9SQU5L"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX05VTUJFUl9PRl9SQU5LUw==::MQ==::TUVNX0lGX05VTUJFUl9PRl9SQU5LUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9ESU1N::MQ==::TUVNX0lGX0NTX1BFUl9ESU1N"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTlRST0xfV0lEVEg=::MQ==::TUVNX0lGX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JVUlNUX0xFTkdUSA==::OA==::TUVNX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0xFVkVMSU5H::ZmFsc2U=::TUVNX0xFVkVMSU5H"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU19XSURUSA==::Mg==::TnVtYmVyIG9mIERRUyBncm91cHM="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1dJRFRI::MQ==::TUVNX0lGX0NTX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NISVBfQklUUw==::MQ==::TUVNX0lGX0NISVBfQklUUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JBTktBRERSX1dJRFRI::Mw==::TUVNX0lGX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRX1dJRFRI::MTY=::TUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NLX1dJRFRI::MQ==::TUVNX0lGX0NLX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19FTl9XSURUSA==::MQ==::TUVNX0lGX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19QQUlSX0NPVU5U::MQ==::TUVNX0lGX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX1dJRFRI::MQ==::REVWSUNFX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfTlM=::MS41::TUVNX0NMS19NQVhfTlM="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfUFM=::MTUwMC4w::TUVNX0NMS19NQVhfUFM="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQw==::MTU=::TUVNX1RSQw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVM=::MTE=::TUVNX1RSQVM="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0Q=::NQ==::TUVNX1RSQ0Q="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUA==::NQ==::TUVNX1RSUA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJ::MjM0MQ==::TUVNX1RSRUZJ"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkM=::MzQ=::TUVNX1RSRkM="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0Q=::MQ==::Q0ZHX1RDQ0Q="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RXUg==::NQ==::TUVNX1RXUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVc=::MTQ=::TUVNX1RGQVc="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkQ=::Mw==::TUVNX1RSUkQ="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFA=::Mw==::TUVNX1RSVFA="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ==::NDUw::TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ==::MTAwMDAw::TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX09EVF9XSURUSA==::MQ==::TUVNX0lGX09EVF9XSURUSA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0xfSU5U::Ng==::TUVNX1dUQ0xfSU5U"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RkxZX0JZ::dHJ1ZQ==::RkxZX0JZ"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkRJTU0=::ZmFsc2U=::UkRJTU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFJESU1N::ZmFsc2U=::TFJESU1N"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkRJTU1fSU5U::MA==::UkRJTU1fSU5U"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFJESU1NX0lOVA==::MA==::TFJESU1NX0lOVA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0xSRElNTV9STQ==::MA==::TUVNX0lGX0xSRElNTV9STQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU::Mg==::TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU::Mw==::TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw==::MA==::Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw==::MA==::Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::Mg==::Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RZUEU=::RERSMw==::TUVNX1RZUEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw==::MA==::TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0xfSU5U::MA==::TUVNX0FUQ0xfSU5U"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::TUVNX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0xSRElNTV9FTkFCTEVE::ZmFsc2U=::TUVNX0xSRElNTV9FTkFCTEVE"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1ZFTkRPUg==::TWljcm9u::TWVtb3J5IHZlbmRvcg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkRJTU1fQ09ORklH::MA==::RERSMyBSRElNTS9MUkRJTU0gQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TFJESU1NX0VYVEVOREVEX0NPTkZJRw==::MHgw::TFJESU1NIEFkZGl0aW9uYWwgQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RElTQ1JFVEVfRkxZX0JZ::dHJ1ZQ==::Rmx5LWJ5IHRvcG9sb2d5"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX0RFUFRI::MQ==::TnVtYmVyIG9mIGNoaXAgc2VsZWN0cw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5H::MA==::TWlycm9yIEFkZHJlc3Npbmc6IDEgcGVyIGNoaXAgc2VsZWN0"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX01BWA==::NjY2LjY2Nw==::TWVtb3J5IGRldmljZSBzcGVlZCBncmFkZQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JPV19BRERSX1dJRFRI::MTM=::Um93IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NPTF9BRERSX1dJRFRI::MTA=::Q29sdW1uIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1dJRFRI::MTY=::VG90YWwgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1BFUl9EUVM=::OA==::RFEvRFFTIGdyb3VwIHNpemU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JBTktBRERSX1dJRFRI::Mw==::QmFuay1hZGRyZXNzIHdpZHRo"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1BJTlNfRU4=::dHJ1ZQ==::RW5hYmxlIERNIHBpbnM="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU05fRU4=::dHJ1ZQ==::RFFTIyBFbmFibGU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9ESU1NUw==::MQ==::TnVtYmVyIG9mIHNsb3Rz"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfRElNTQ==::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBzbG90"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfREVWSUNF::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBkZXZpY2U="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JBTktfTVVMVElQTElDQVRJT05fRkFDVE9S::MQ==::KERlcHJlY2F0ZWQpIExSRElNTSBSYW5rIE11bHRpcGxpY2F0aW9uIEZhY3Rvcg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1dJRFRI::MQ==::TnVtYmVyIG9mIGNsb2Nrcw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NTX1dJRFRI::MQ==::TnVtYmVyIG9mIGNoaXAtc2VsZWN0cyBwZXIgZGV2aWNlL0RJTU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19FTl9XSURUSA==::MQ==::TnVtYmVyIG9mIGNsb2NrIGVuYWJsZXMgcGVyIGRldmljZS9ESU1N"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUxUTUVNUEhZX0NPTVBBVElCTEVfTU9ERQ==::ZmFsc2U=::QWxsb3cgdGhlIG1lbW9yeSBtb2RlbCB0byBiZSB1c2UgaW4gQUxUTUVNUEhZ"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TkVYVEdFTg==::dHJ1ZQ==::RW5hYmxlIDExLjAgZXh0cmEgY29udHJvbGxlciBmZWF0dXJlcw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JPQVJEX0JBU0VfREVMQVk=::MTA=::QmFzZSBib2FyZCBkZWxheSBmb3IgYm9hcmQgZGVsYXkgbW9kZWw="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c=::MA==::TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA==::ZmFsc2U=::TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1ZFUkJPU0U=::dHJ1ZQ==::RW5hYmxlIHZlcmJvc2UgbWVtb3J5IG1vZGVsIG91dHB1dA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UElOR1BPTkdQSFlfRU4=::ZmFsc2U=::RW5hYmxlIFBpbmcgUG9uZyBQSFk="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX0FD::ZmFsc2U=::RHVwbGljYXRlIEFkZHJlc3MgYW5kIENvbW1hbmQgU2lnbmFscw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9CVVJTVF9WQUxJREFUSU9O::ZmFsc2U=::RW5hYmxlIG1lbW9yeSBtb2RlbCByZWZyZXNoIGJ1cnN0IHZhbGlkYXRpb24="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVBfTU9ERV9FTg==::MA==::QVBfTU9ERV9FTg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QVBfTU9ERQ==::ZmFsc2U=::QVBfTU9ERQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JM::T1RG::QnVyc3QgTGVuZ3Ro"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0JU::U2VxdWVudGlhbA==::UmVhZCBCdXJzdCBUeXBl"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FTUg==::TWFudWFs::QXV0byBzZWxmcmVmcmVzaCBtZXRob2Q="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1NSVA==::Tm9ybWFs::U2VsZnJlZnJlc2ggdGVtcGVyYXR1cmU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1BE::RExMIG9mZg==::RExMIHByZWNoYXJnZSBwb3dlciBkb3du"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RSVl9TVFI=::UlpRLzY=::T3V0cHV0IGRyaXZlIHN0cmVuZ3RoIHNldHRpbmc="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RMTF9FTg==::dHJ1ZQ==::RW5hYmxlIHRoZSBETEwgaW4gbWVtb3J5IGRldmljZXM="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9OT00=::UlpRLzI=::T0RUIFJ0dCBub21pbmFsIHZhbHVl"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9XUg==::RHluYW1pYyBPRFQgb2Zm::RHluYW1pYyBPRFQgKFJ0dF9XUikgdmFsdWU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0w=::Ng==::TWVtb3J5IHdyaXRlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0w=::RGlzYWJsZWQ=::TWVtb3J5IGFkZGl0aXZlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RDTA==::Nw==::TWVtb3J5IENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fTEVWRUxJTkdfTU9ERQ==::dHJ1ZQ==::QXV0b2xldmVsaW5nIHNlbGVjdGlvbg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1VTRVJfTEVWRUxJTkdfTU9ERQ==::TGV2ZWxpbmc=::TGV2ZWxpbmcgaW50ZXJmYWNlIG1vZGU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0lOSVRfRU4=::ZmFsc2U=::RW5hYmxlcyBtZW1vcnkgY29udGVudCBpbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJUw==::MTkw::dElTIChiYXNlKQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJSA==::MTQw::dElIIChiYXNlKQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUw==::MzA=::dERTIChiYXNlKQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RESA==::NjU=::dERIIChiYXNlKQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNR::MTI1::dERRU1E="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSA==::MC4zOA==::dFFI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDSw==::MjU1::dERRU0NL"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RT::NDUw::dERRU0NLIERlbHRhIFNob3J0"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RN::OTAw::dERRU0NLIERlbHRhIE1lZGl1bQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RM::MTIwMA==::dERRU0NLIERlbHRhIExvbmc="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNT::MC4yNQ==::dERRU1M="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRU0g=::MC40::dFFTSA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU0g=::MC4y::dERTSA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU1M=::MC4y::dERTUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX1VT::NTAw::dElOSVQ="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX0NL::MTUwMDAw::TUVNX1RJTklUX0NL"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1REUVNDSw==::MQ==::TUVNX1REUVNDSw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RNUkRfQ0s=::NA==::dE1SRA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVNfTlM=::MzYuMA==::dFJBUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0RfTlM=::MTMuNQ==::dFJDRA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUF9OUw==::MTMuNQ==::dFJQ"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJX1VT::Ny44::dFJFRkk="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkNfTlM=::MTEwLjA=::dFJGQw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0RfTlM=::Mi41::dENDRA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RXUl9OUw==::MTUuMA==::dFdS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RXVFI=::NQ==::dFdUUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVdfTlM=::NDUuMA==::dEZBVw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkRfTlM=::Ny41::dFJSRA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFBfTlM=::Ny41::dFJUUA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw==::ZmFsc2U=::Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0RSX0NMSw==::ZmFsc2U=::VVNFX0RSX0NMSw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX1VTRV9EUl9DTEs=::ZmFsc2U=::RExMX1VTRV9EUl9DTEs="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFXzJYX0ZG::ZmFsc2U=::VVNFXzJYX0ZG"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFVBTF9XUklURV9DTE9DSw==::ZmFsc2U=::RFVBTF9XUklURV9DTE9DSw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "R0VORVJJQ19QTEw=::dHJ1ZQ==::R0VORVJJQ19QTEw="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0hBUkRfUkVBRF9GSUZP::ZmFsc2U=::VVNFX0hBUkRfUkVBRF9GSUZP"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX0hBTEZfUkFURQ==::ZmFsc2U=::UkVBRF9GSUZPX0hBTEZfUkFURQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01BU1RFUg==::dHJ1ZQ==::UExMX01BU1RFUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX01BU1RFUg==::dHJ1ZQ==::RExMX01BU1RFUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEhZX1ZFUlNJT05fTlVNQkVS::MTUx::UEhZX1ZFUlNJT05fTlVNQkVS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfT0NJ::ZmFsc2U=::RU5BQkxFX05JT1NfT0NJ"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfSlRBR19NQVNURVI=::dHJ1ZQ==::RU5BQkxFX0VNSVRfSlRBR19NQVNURVI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfSlRBR19VQVJU::ZmFsc2U=::RU5BQkxFX05JT1NfSlRBR19VQVJU"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA==::ZmFsc2U=::RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI=::ZmFsc2U=::RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfQkZNX01BU1RFUg==::ZmFsc2U=::RU5BQkxFX0VNSVRfQkZNX01BU1RFUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF::ZmFsc2U=::Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA==::ZmFsc2U=::RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0=::ZmFsc2U=::RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU=::ZmFsc2U=::TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc=::ZmFsc2U=::REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ==::dHJ1ZQ==::RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw==::dHJ1ZQ==::RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NQ==::TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVBRF9WQUxJRF9GSUZPX1NJWkU=::MTY=::UkVBRF9WQUxJRF9GSUZPX1NJWkU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RVhUUkFfVkZJRk9fU0hJRlQ=::MA==::RVhUUkFfVkZJRk9fU0hJRlQ="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0NMS19GUkVR::MzAwLjA=::VEJfTUVNX0NMS19GUkVR"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfUkFURQ==::RlVMTA==::VEJfUkFURQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX0RRX1dJRFRI::MTY=::VEJfTUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI::Mg==::VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VEJfUExMX0RMTF9NQVNURVI=::dHJ1ZQ==::VEJfUExMX0RMTF9NQVNURVI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RkFTVF9TSU1fQ0FMSUJSQVRJT04=::ZmFsc2U=::RkFTVF9TSU1fQ0FMSUJSQVRJT04="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVR::NTAuMA==::UExMIHJlZmVyZW5jZSBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1NUUg==::NTAuMCBNSHo=::UkVGX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19OUw==::MjAuMA==::UkVGX0NMS19OUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19QUw==::MjAwMDAuMA==::UkVGX0NMS19QUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVR::MC4w::UExMX0RSX0NMS19GUkVR"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX0RSX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QUw==::MA==::UExMX0RSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0RSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxU::MA==::UExMX0RSX0NMS19NVUxU"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVY=::MA==::UExMX0RSX0NMS19ESVY="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUQ==::MzAwLjA=::QWNoaWV2ZWQgbWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TVFI=::MzAwLjAgTUh6::UExMX01FTV9DTEtfRlJFUV9TVFI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RS::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFM=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVH::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVA==::NjAwMDAwMA==::UExMX01FTV9DTEtfTVVMVA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElW::MTAwMDAwMA==::UExMX01FTV9DTEtfRElW"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUQ==::MzAwLjA=::QWNoaWV2ZWQgbG9jYWwgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TVFI=::MzAwLjAgTUh6::UExMX0FGSV9DTEtfRlJFUV9TVFI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RS::MzMzNCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFM=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVH::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVA==::NjAwMDAwMA==::UExMX0FGSV9DTEtfTVVMVA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElW::MTAwMDAwMA==::UExMX0FGSV9DTEtfRElW"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVR::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVR"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX1dSSVRFX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QUw==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TVFI=::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUc=::MjcwLjA=::UExMX1dSSVRFX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0=::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RS::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN::MjcwLjA=::UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxU::NjAwMDAwMA==::UExMX1dSSVRFX0NMS19NVUxU"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVY=::MTAwMDAwMA==::UExMX1dSSVRFX0NMS19ESVY="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVR::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVR"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX0FERFJfQ01EX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw==::MjUwMA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TVFI=::MjUwMCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc=::MjcwLjA=::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0=::MjUwMA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RS::MjUwMCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN::MjcwLjA=::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxU::NjAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19NVUxU"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVY=::MTAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19ESVY="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVR::MTUwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVR"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NUUg==::MTUwLjAgTUh6::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFI=::NjY2OCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TVFI=::MCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RS::MCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxU::NjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19NVUxU"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVY=::MjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19ESVY="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVE=::NjAuMA==::UExMX05JT1NfQ0xLX0ZSRVE="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU1RS::NjAuMCBNSHo=::UExMX05JT1NfQ0xLX0ZSRVFfU1RS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUg==::MTY2NzAgcHM=::UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BT::NDE2::UExMX05JT1NfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NUUg==::NDE2IHBz::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NUUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFRw==::OS4w::UExMX05JT1NfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ==::NDYz::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFI=::NDYzIHBz::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0=::MTAuMA==::UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFQ=::NjAwMDAwMA==::UExMX05JT1NfQ0xLX01VTFQ="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVg==::NTAwMDAwMA==::UExMX05JT1NfQ0xLX0RJVg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUQ==::MjAuMA==::UExMX0NPTkZJR19DTEtfRlJFUQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TVFI=::MjAuMCBNSHo=::UExMX0NPTkZJR19DTEtfRlJFUV9TVFI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RS::NTAwMTAgcHM=::UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFM=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVH::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVA==::NjAwMDAwMA==::UExMX0NPTkZJR19DTEtfTVVMVA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElW::MTUwMDAwMDA=::UExMX0NPTkZJR19DTEtfRElW"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVR::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVR"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX1AyQ19SRUFEX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc=::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxU::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxU"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVY=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVY="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9TSU1fU1RS::MCBwcw==::UExMX0MyUF9XUklURV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVA==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElW::MA==::UExMX0MyUF9XUklURV9DTEtfRElW"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVR::MC4w::UExMX0hSX0NMS19GUkVR"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX0hSX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QUw==::MA==::UExMX0hSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0hSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxU::MA==::UExMX0hSX0NMS19NVUxU"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVY=::MA==::UExMX0hSX0NMS19ESVY="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVE=::MzAwLjA=::UExMX0FGSV9QSFlfQ0xLX0ZSRVE="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU1RS::MzAwLjAgTUh6::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU1RS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUg==::MzMzNCBwcw==::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NUUg==::MCBwcw==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NUUg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFI=::MCBwcw==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFQ=::NjAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX01VTFQ="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVg==::MTAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX0RJVg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE::dHJ1ZQ==::UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE::dHJ1ZQ==::UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9QQVJBTQ==::MTAuMA==::UkVGX0NMS19GUkVRX01JTl9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9QQVJBTQ==::NTAwLjA=::UkVGX0NMS19GUkVRX01BWF9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9DQUNIRQ==::MTAuMA==::UkVGX0NMS19GUkVRX01JTl9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9DQUNIRQ==::NTAwLjA=::UkVGX0NMS19GUkVRX01BWF9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0RSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0RSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0RSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0RSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0RSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0RSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9QQVJBTQ==::MzAwLjA=::UExMX01FTV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9QQVJBTQ==::NjAwMDAwMA==::UExMX01FTV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX1BBUkFN::MTAwMDAwMA==::UExMX01FTV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9DQUNIRQ==::MzAwLjA=::UExMX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9DQUNIRQ==::NjAwMDAwMA==::UExMX01FTV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX0NBQ0hF::MTAwMDAwMA==::UExMX01FTV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ==::MzAwLjA=::UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN::MzMzNCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ==::NjAwMDAwMA==::UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX1BBUkFN::MTAwMDAwMA==::UExMX0FGSV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ==::MzAwLjA=::UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::MzMzNCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ==::NjAwMDAwMA==::UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX0NBQ0hF::MTAwMDAwMA==::UExMX0FGSV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN::NjAwMDAwMA==::UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfUEFSQU0=::MTAwMDAwMA==::UExMX1dSSVRFX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF::NjAwMDAwMA==::UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU=::MTAwMDAwMA==::UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ==::MjUwMA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN::MjUwMCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN::NjAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0=::MTAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ==::MjUwMA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MjUwMCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF::NjAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU=::MTAwMDAwMA==::UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN::MTUwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0=::NjY2OCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN::MCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN::NjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0=::MjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF::MTUwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::NjY2OCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF::NjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU=::MjAwMDAwMA==::UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0=::NjAuMA==::UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUl9QQVJBTQ==::MTY2NzAgcHM=::UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUl9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN::NDE2::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfUEFSQU0=::NDYzIHBz::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfUEFSQU0=::NjAwMDAwMA==::UExMX05JT1NfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ==::NTAwMDAwMA==::UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU=::NjAuMA==::UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUl9DQUNIRQ==::MTY2NzAgcHM=::UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF::NDE2::UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfQ0FDSEU=::NDYzIHBz::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU=::NjAwMDAwMA==::UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ==::NTAwMDAwMA==::UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ==::MjAuMA==::UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RSX1BBUkFN::NTAwMTAgcHM=::UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ==::MCBwcw==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ==::NjAwMDAwMA==::UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX1BBUkFN::MTUwMDAwMDA=::UExMX0NPTkZJR19DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ==::MjAuMA==::UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::NTAwMTAgcHM=::UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ==::NjAwMDAwMA==::UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF::MTUwMDAwMDA=::UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0hSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0hSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0hSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0hSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0hSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0hSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0=::MzAwLjA=::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUl9QQVJBTQ==::MzMzNCBwcw==::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUl9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfUEFSQU0=::MCBwcw==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0=::NjAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ==::MTAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU=::MzAwLjA=::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUl9DQUNIRQ==::MzMzNCBwcw==::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfQ0FDSEU=::MCBwcw==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU=::NjAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ==::MTAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNFX0NBQ0hF::ZmFsc2U=::SVNfRVNfREVWSUNFX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX0NBQ0hF::MC4w::TUVNX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hF::MC4w::UkVGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkFURV9DQUNIRQ==::VW5rbm93bg==::UkFURV9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SENYX0NPTVBBVF9NT0RFX0NBQ0hF::ZmFsc2U=::SENYX0NPTVBBVF9NT0RFX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ==::VW5rbm93bg==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q09NTUFORF9QSEFTRV9DQUNIRQ==::MC4w::Q09NTUFORF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1BIQVNFX0NBQ0hF::MC4w::TUVNX0NLX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF::MC4w::UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ==::MC4w::QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF::MC4w::QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEVfQ0FDSEU=::VW5rbm93bg==::U0VRVUVOQ0VSX1RZUEVfQ0FDSEU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ==::ZmFsc2U=::VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NMS19DQUNIRV9WQUxJRA==::dHJ1ZQ==::UExMX0NMS19DQUNIRV9WQUxJRA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX0NMS19QQVJBTV9WQUxJRA==::dHJ1ZQ==::UExMX0NMS19QQVJBTV9WQUxJRA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYVFJBX1JFUE9SVElORw==::ZmFsc2U=::RU5BQkxFX0VYVFJBX1JFUE9SVElORw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX0VYVFJBX1JFUE9SVF9QQVRI::MTA=::TlVNX0VYVFJBX1JFUE9SVF9QQVRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0lTU19QUk9CRVM=::ZmFsc2U=::RU5BQkxFX0lTU19QUk9CRVM="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0FMSUJfUkVHX1dJRFRI::OA==::Q0FMSUJfUkVHX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1NFUVVFTkNFUl9CRk0=::ZmFsc2U=::VVNFX1NFUVVFTkNFUl9CRk0="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::UExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0FGSV9IQUxGX0NMSw==::ZmFsc2U=::RW5hYmxlIEFGSSBoYWxmIHJhdGUgY2xvY2s="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q=::ZmFsc2U=::QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA==::ZmFsc2U=::SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw=::ZmFsc2U=::SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZX0lOVEVSTkFM::ZmFsc2U=::VVNFX0ZBS0VfUEhZX0lOVEVSTkFM"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZ::ZmFsc2U=::VXNlIEZha2UgUEhZ"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::MA==::Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF::ZmFsc2U=::VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNUUlVDVElWRV9DQUxJQg==::ZmFsc2U=::RW5hYmxlcyBOb24tRGVzdHJ1Y3RpdmUgQ2FsaWJyYXRpb24="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1VTRVJfUkRJTU1fVkFMVUU=::ZmFsc2U=::VVNFX1VTRVJfUkRJTU1fVkFMVUU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF::ZmFsc2U=::RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfRVJST1JfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfRVJST1JfVEVTVA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfV0FUQ0hfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfV0FUQ0hfVEVTVA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFSR0lOX1ZBUklBVElPTl9URVNU::ZmFsc2U=::TUFSR0lOX1ZBUklBVElPTl9URVNU"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzA=::MF8wMDAwXzAwMDBfMDAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAx"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzE=::MF8wMDAwXzAwMDBfMTAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAy"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJFRkk=::MzUxMDA=::VHJlZmkgeCA5"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9JTlRFUlZBTA==::MTUwMDA=::UmVmcmVzaCBJbnRlcnZhbA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q=::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJGQw==::MzUw::VHJmYw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FM::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FM"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0NTUl9QT1JU::ZmFsc2U=::RVhQT1JUX0NTUl9QT1JU"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1NSX0FERFJfV0lEVEg=::OA==::Q1NSX0FERFJfV0lEVEg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1NSX0RBVEFfV0lEVEg=::MzI=::Q1NSX0RBVEFfV0lEVEg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1NSX0JFX1dJRFRI::NA==::Q1NSX0JFX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0RFVklDRQ==::TUlTU0lOR19NT0RFTA==::TUVNX0RFVklDRQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw==::MQ==::TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw==::MQ==::UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UVZMRF9XUl9BRERSRVNTX09GRlNFVA==::NQ==::UVZMRF9XUl9BRERSRVNTX09GRlNFVA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NA==::TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM=::MQ==::TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM=::MA==::Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O::dHJ1ZQ==::UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VRX0JVUlNUX0NPVU5UX1dJRFRI::Mg==::U0VRX0JVUlNUX0NPVU5UX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkNBTElCX0NPVU5UX1dJRFRI::Mg==::VkNBTElCX0NPVU5UX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UExMX1BIQVNFX0NPVU5URVJfV0lEVEg=::NA==::UExMX1BIQVNFX0NPVU5URVJfV0lEVEg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc=::MA==::RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX1BIQVNFX1NISUZU::MA==::RFFTX1BIQVNFX1NISUZU"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H::Mg==::REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0lOX1JFU0VSVkU=::NA==::SU9fRFFTX0lOX1JFU0VSVkU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFTX09VVF9SRVNFUlZF::NA==::SU9fRFFTX09VVF9SRVNFUlZF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFfT1VUX1JFU0VSVkU=::MA==::SU9fRFFfT1VUX1JFU0VSVkU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRE1fT1VUX1JFU0VSVkU=::MA==::SU9fRE1fT1VUX1JFU0VSVkU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX0RFTEFZX09GRlNFVA==::MA==::SU9fRFFTX0VOX0RFTEFZX09GRlNFVA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX1BIQVNFX01BWA==::Nw==::SU9fRFFTX0VOX1BIQVNFX01BWA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fRFFEUVNfT1VUX1BIQVNFX01BWA==::MA==::SU9fRFFEUVNfT1VUX1BIQVNFX01BWA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT::ZmFsc2U=::SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M=::ZmFsc2U=::SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19OUw==::My4zMzM=::TUVNX0NMS19OUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19QUw==::MzMzMy4w::TUVNX0NMS19QUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0FMSUJfTEZJRk9fT0ZGU0VU::OA==::Q0FMSUJfTEZJRk9fT0ZGU0VU"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0FMSUJfVkZJRk9fT0ZGU0VU::Ng==::Q0FMSUJfVkZJRk9fT0ZGU0VU"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX09QQV9UQVA=::NDE2::REVMQVlfUEVSX09QQV9UQVA="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RDSEFJTl9UQVA=::MjU=::REVMQVlfUEVSX0RDSEFJTl9UQVA="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ::MjU=::REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX0VOX0RFTEFZX01BWA==::MzE=::RFFTX0VOX0RFTEFZX01BWA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX0lOX0RFTEFZX01BWA==::MzE=::RFFTX0lOX0RFTEFZX01BWA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fSU5fREVMQVlfTUFY::MzE=::SU9fSU5fREVMQVlfTUFY"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fT1VUMV9ERUxBWV9NQVg=::MzE=::SU9fT1VUMV9ERUxBWV9NQVg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fT1VUMl9ERUxBWV9NQVg=::MA==::SU9fT1VUMl9ERUxBWV9NQVg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SU9fU1RBTkRBUkQ=::U1NUTC0xNQ==::SS9PIHN0YW5kYXJk"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VkZJRk9fQVNfU0hJRlRfUkVH::dHJ1ZQ==::VkZJRk9fQVNfU0hJRlRfUkVH"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEU=::TklPUw==::U2VxdWVuY2VyIG9wdGltaXphdGlvbg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TklPU19IRVhfRklMRV9MT0NBVElPTg==::Li4v::TklPU19IRVhfRklMRV9MT0NBVElPTg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw==::ZmFsc2U=::QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U=::dHJ1ZQ==::TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RfV0w=::Ng==::TUVNX1RfV0w="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1RfUkw=::Nw==::TUVNX1RfUkw="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEhZX0NMS0JVRg==::ZmFsc2U=::UEhZX0NMS0JVRg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw==::ZmFsc2U=::VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19GT1JfQUREUl9DTUQ=::ZmFsc2U=::VVNFX0xEQ19GT1JfQUREUl9DTUQ="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA==::ZmFsc2U=::RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA==::MA==::TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA==::dHJ1ZQ==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF::MA==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA==::ZmFsc2U=::Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU::ZmFsc2U=::Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVHSVNURVJfQzJQ::ZmFsc2U=::UkVHSVNURVJfQzJQ"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS::dHJ1ZQ==::RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUFYMTBfUlRMX1NFUQ==::ZmFsc2U=::TUFYMTBfUlRMX1NFUQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0VRX01PREU=::MA==::RnVsbC0gb3IgaGFsZi1yYXRlIHNlcXVlbmNlcg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QURWQU5DRURfQ0tfUEhBU0VT::ZmFsc2U=::QWR2YW5jZWQgY2xvY2sgcGhhc2UgY29udHJvbA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgcGVyaXBoZXJ5LXRvLWNvcmUgdHJhbnNmZXI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRQ==::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgY29yZS10by1wZXJpcGhlcnkgdHJhbnNmZXI="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgUEhZIGNsb2NrIHRyZWU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX1ZPTFRBR0U=::MS41ViBERFIz::U3VwcGx5IFZvbHRhZ2U="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U0tJUF9NRU1fSU5JVA==::dHJ1ZQ==::U2tpcCBNZW1vcnkgSW5pdGlhbGl6YXRpb24gRGVsYXlz"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF::SU5WRVJURURfRFFTX0JVUw==::UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFfSU5QVVRfUkVHX1VTRV9DTEtO::ZmFsc2U=::RFFfSU5QVVRfUkVHX1VTRV9DTEtO"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFTX0RRU05fTU9ERQ==::RElGRkVSRU5USUFM::RFFTX0RRU05fTU9ERQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0RFQlVHX0lORk9fV0lEVEg=::MzI=::QUZJX0RFQlVHX0lORk9fV0lEVEg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q0FMSUJSQVRJT05fTU9ERQ==::UXVpY2s=::QXV0by1jYWxpYnJhdGlvbiBtb2Rl"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TklPU19ST01fREFUQV9XSURUSA==::MzI=::TklPU19ST01fREFUQV9XSURUSA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TklPU19ST01fQUREUkVTU19XSURUSA==::MTM=::TklPU19ST01fQUREUkVTU19XSURUSA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX1NJWkU=::OA==::RGVwdGggb2YgdGhlIHJlYWQgRklGTw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9DT05ORUNUSU9O::SU5URVJOQUxfSlRBRw==::Q1NSIHBvcnQgaG9zdCBpbnRlcmZhY2U="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFUl9ERUJVR19MRVZFTA==::MQ==::RGVidWdnaW5nIGZlYXR1cmUgc2V0"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFUkFURV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgbWV0aG9k"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEU=::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURQ==::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURQ==::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURQ==::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEVfQVBQTElFRA==::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURV9BUFBMSUVE::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURV9BUFBMSUVE::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURV9BUFBMSUVE::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJUw==::MC4w::dElT"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSA==::MC4w::dElI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REUw==::MC4w::dERT"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESA==::MC4w::dERI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJU19BUFBMSUVE::MC4zNA==::dElT"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSF9BUFBMSUVE::MC4yNA==::dElI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REU19BUFBMSUVE::MC4xOA==::dERT"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESF9BUFBMSUVE::MC4xNjU=::dERI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0lTSV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgTWV0aG9k"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1U=::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT04=::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUU=::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTg==::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRQ==::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1VfQVBQTElFRA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSF9BUFBMSUVE::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT05fQVBQTElFRA==::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUVfQVBQTElFRA==::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTl9BUFBMSUVE::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRV9BUFBMSUVE::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBEUS9EUVMgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUNfUEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBBZGRyZXNzL0NvbW1hbmQgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9DS19ERUxBWQ==::MC42::TWF4aW11bSBDSyBkZWxheSB0byBESU1NL2RldmljZQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9EUVNfREVMQVk=::MC42::TWF4aW11bSBEUVMgZGVsYXkgdG8gRElNTS9kZXZpY2U="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU4=::LTAuMDE=::TWluaW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA==::LTAuMDE=::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVg=::MC4wMQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA==::MC4wMQ==::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NUw==::MC4wNQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRElNTXMvZGV2aWNlcw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE::MC4w::VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfV0lUSElOX0RRUw==::MC4wMg==::TWF4aW11bSBza2V3IHdpdGhpbiBEUVMgZ3JvdXA="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9EUVM=::MC4wMg==::TWF4aW11bSBza2V3IGJldHdlZW4gRFFTIGdyb3Vwcw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1RPX0RRU19TS0VX::MC4w::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRFEgYW5kIERRUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NLRVc=::MC4wMg==::TWF4aW11bSBza2V3IHdpdGhpbiBhZGRyZXNzIGFuZCBjb21tYW5kIGJ1cw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1RPX0NLX1NLRVc=::MC4w::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gYWRkcmVzcyBhbmQgY29tbWFuZCBhbmQgQ0s="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkFURQ==::RnVsbA==::UmF0ZSBvbiBBdmFsb24tTU0gaW50ZXJmYWNl"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVR::MzAwLjA=::TWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUQ==::ZmFsc2U=::VXNlIHNwZWNpZmllZCBmcmVxdWVuY3kgaW5zdGVhZCBvZiBjYWxjdWxhdGVkIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0RRU19UUkFDS0lORw==::ZmFsc2U=::VVNFX0RRU19UUkFDS0lORw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfRFFTX1RSQUNLSU5H::QVVUTw==::Rm9yY2UgRFFTIFRyYWNraW5nIEVuYWJsZWQvRGlzYWJsZWQ="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX0hQU19EUVNfVFJBQ0tJTkc=::ZmFsc2U=::VVNFX0hQU19EUVNfVFJBQ0tJTkc="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VFJLX1BBUkFMTEVMX1NDQ19MT0FE::ZmFsc2U=::VFJLX1BBUkFMTEVMX1NDQ19MT0FE"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "VVNFX1NIQURPV19SRUdT::ZmFsc2U=::VVNFX1NIQURPV19SRUdT"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0hBRE9XX1JFR1M=::QVVUTw==::U2hhZG93IFJlZ2lzdGVycw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RFFfRERS::MQ==::RFFfRERS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUREUl9DTURfRERS::MA==::QUREUl9DTURfRERS"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1JBVEVfUkFUSU8=::MQ==::QUZJX1JBVEVfUkFUSU8="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REFUQV9SQVRFX1JBVElP::Mg==::REFUQV9SQVRFX1JBVElP"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUREUl9SQVRFX1JBVElP::MQ==::QUREUl9SQVRFX1JBVElP"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0FERFJfV0lEVEg=::MTM=::QUZJX0FERFJfV0lEVEg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0JBTktBRERSX1dJRFRI::Mw==::QUZJX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NPTlRST0xfV0lEVEg=::MQ==::QUZJX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NTX1dJRFRI::MQ==::QUZJX0NTX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19FTl9XSURUSA==::MQ==::QUZJX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0RNX1dJRFRI::NA==::QUZJX0RNX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0RRX1dJRFRI::MzI=::QUZJX0RRX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX09EVF9XSURUSA==::MQ==::QUZJX09EVF9XSURUSA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1dSSVRFX0RRU19XSURUSA==::Mg==::QUZJX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1JMQVRfV0lEVEg=::Ng==::QUZJX1JMQVRfV0lEVEg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1dMQVRfV0lEVEg=::Ng==::QUZJX1dMQVRfV0lEVEg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1JSQU5LX1dJRFRI::Mg==::QUZJX1JSQU5LX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX1dSQU5LX1dJRFRI::Mg==::QUZJX1dSQU5LX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19QQUlSX0NPVU5U::MQ==::QUZJX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw==::ZmFsc2U=::TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ==::Q1lDTE9ORVY=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UFJFX1ZfU0VSSUVTX0ZBTUlMWQ==::ZmFsc2U=::UFJFX1ZfU0VSSUVTX0ZBTUlMWQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ==::Q1lDTE9ORVY=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWV9QQVJBTQ==::Q3ljbG9uZSBW::REVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREU=::OA==::U3BlZWQgR3JhZGU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNF::ZmFsc2U=::RW5naW5lZXJpbmcgU2FtcGxlIChFUykgRGV2aWNl"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RElTQUJMRV9DSElMRF9NRVNTQUdJTkc=::dHJ1ZQ==::RElTQUJMRV9DSElMRF9NRVNTQUdJTkc="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEFSRF9QSFk=::dHJ1ZQ==::SEFSRF9QSFk="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEFSRF9FTUlG::dHJ1ZQ==::RW5hYmxlIEhhcmQgRXh0ZXJuYWwgTWVtb3J5IEludGVyZmFjZQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQUw==::ZmFsc2U=::SEhQX0hQUw=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19WRVJJRklDQVRJT04=::ZmFsc2U=::SEhQX0hQU19WRVJJRklDQVRJT04="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19TSU1VTEFUSU9O::ZmFsc2U=::SEhQX0hQU19TSU1VTEFUSU9O"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "SFBTX1BST1RPQ09M::REVGQVVMVA==::TWVtb3J5IFByb3RvY29s"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "Q1VUX05FV19GQU1JTFlfVElNSU5H::dHJ1ZQ==::Q1VUX05FV19GQU1JTFlfVElNSU5H"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX0RFTEFZX0NUUkxfV0lEVEg=::Nw==::RExMX0RFTEFZX0NUUkxfV0lEVEg="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX09GRlNFVF9DVFJMX1dJRFRI::Ng==::RExMX09GRlNFVF9DVFJMX1dJRFRI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfQlVGRkVSX01PREU=::SElHSA==::REVMQVlfQlVGRkVSX01PREU="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "REVMQVlfQ0hBSU5fTEVOR1RI::OA==::REVMQVlfQ0hBSU5fTEVOR1RI"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "RExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::RExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19QRVJJT0RfUFM=::MjAwMDA=::UkVGX0NMS19QRVJJT0RfUFM="
set_global_assignment -library "ddr3" -name VHDL_FILE [file join $::quartus(qip_path) "ddr3.vhd"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_0002.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_reset_controller.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_reset_synchronizer.v"]
set_global_assignment -library "ddr3" -name SDC_FILE [file join $::quartus(qip_path) "ddr3/altera_reset_controller.sdc"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_mm_interconnect_2.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_mm_interconnect_2_avalon_st_adapter_001.v"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0.sv"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_mm_interconnect_2_avalon_st_adapter.v"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_merlin_width_adapter.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_merlin_address_alignment.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_merlin_burst_uncompressor.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_merlin_arbitrator.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_mm_interconnect_2_rsp_mux.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_mm_interconnect_2_rsp_demux.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_mm_interconnect_2_cmd_mux.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_mm_interconnect_2_cmd_demux.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_merlin_burst_adapter.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_merlin_burst_adapter_uncmpr.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_merlin_burst_adapter_13_1.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_merlin_burst_adapter_new.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_incr_burst_converter.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_wrap_burst_converter.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_default_burst_converter.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_avalon_st_pipeline_stage.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_avalon_st_pipeline_base.v"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_merlin_traffic_limiter.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_merlin_reorder_memory.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_avalon_sc_fifo.v"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_mm_interconnect_2_router_002.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_mm_interconnect_2_router_001.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_mm_interconnect_2_router.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_merlin_slave_agent.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_merlin_master_agent.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_merlin_slave_translator.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_merlin_master_translator.sv"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_mm_interconnect_1.v"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_mem_if_dll_cyclonev.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_mem_if_oct_cyclonev.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_mem_if_hard_memory_controller_top_cyclonev.sv"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_dmaster.v"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_dmaster_p2b_adapter.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_dmaster_b2p_adapter.sv"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_avalon_packets_to_master.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_avalon_st_packets_to_bytes.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_avalon_st_bytes_to_packets.v"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_dmaster_timing_adt.sv"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_avalon_st_jtag_interface.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_jtag_dc_streaming.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_jtag_sld_node.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_jtag_streaming.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_avalon_st_clock_crosser.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_std_synchronizer_nocut.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_avalon_st_idle_remover.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_avalon_st_idle_inserter.v"]
set_global_assignment -library "ddr3" -name SDC_FILE [file join $::quartus(qip_path) "ddr3/altera_avalon_st_jtag_interface.sdc"]
set_global_assignment -library "ddr3" -name SOURCE_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_software/sequencer.c"]
set_global_assignment -library "ddr3" -name SOURCE_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_software/sequencer.h"]
set_global_assignment -library "ddr3" -name SOURCE_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_software/sequencer_defines.h"]
set_global_assignment -library "ddr3" -name TCL_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_make_qsys_seq.tcl"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0.v"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_avalon_st_adapter.v"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_rsp_mux_002.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_rsp_mux_001.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_rsp_mux.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_rsp_demux_003.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_rsp_demux_001.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_cmd_mux_003.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_cmd_mux_001.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_cmd_mux.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_cmd_demux_002.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_cmd_demux_001.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_cmd_demux.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_router_006.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_router_004.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_router_003.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_router_002.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_router_001.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0_router.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_irq_mapper.sv"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_mm_interconnect_0.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_avalon_mm_bridge.v"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_mem_if_sequencer_mem_no_ifdef_params.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_mem_if_simple_avalon_mm_bridge.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/sequencer_reg_file.sv"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/sequencer_scc_reg_file.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/sequencer_scc_acv_phase_decode.v"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/sequencer_scc_acv_wrapper.sv"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/sequencer_scc_sv_phase_decode.v"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/sequencer_scc_sv_wrapper.sv"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/sequencer_scc_siii_phase_decode.v"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/sequencer_scc_siii_wrapper.sv"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/sequencer_scc_mgr.sv"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_mem_if_sequencer_cpu_cv_synth_cpu_inst_test_bench.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_mem_if_sequencer_cpu_cv_synth_cpu_inst.v"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altera_mem_if_sequencer_rst.sv"]
set_global_assignment -library "ddr3" -name SOURCE_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_AC_ROM.hex"]
set_global_assignment -library "ddr3" -name SOURCE_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_inst_ROM.hex"]
set_global_assignment -library "ddr3" -name SOURCE_FILE [file join $::quartus(qip_path) "ddr3/ddr3_s0_sequencer_mem.hex"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0_clock_pair_generator.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0_acv_hard_addr_cmd_pads.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0_acv_hard_memphy.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0_acv_ldc.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0_acv_hard_io_pads.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0_generic_ddio.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0_reset.v"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0_reset_sync.v"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0_phy_csr.sv"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0_iss_probe.v"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0.sv"]
set_global_assignment -library "ddr3" -name VERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0_altdqdqs.v"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/altdq_dqs2_acv_connect_to_hard_phy_cyclonev.sv"]
set_global_assignment -library "ddr3" -name SOURCE_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0.ppf"]
set_global_assignment -library "ddr3" -name SDC_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0.sdc"]
set_global_assignment -library "ddr3" -name TCL_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0_timing.tcl"]
set_global_assignment -library "ddr3" -name TCL_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0_report_timing.tcl"]
set_global_assignment -library "ddr3" -name TCL_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0_report_timing_core.tcl"]
set_global_assignment -library "ddr3" -name TCL_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0_pin_map.tcl"]
set_global_assignment -library "ddr3" -name TCL_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0_pin_assignments.tcl"]
set_global_assignment -library "ddr3" -name TCL_FILE [file join $::quartus(qip_path) "ddr3/ddr3_p0_parameters.tcl"]
set_global_assignment -library "ddr3" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "ddr3/ddr3_pll0.sv"]
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_TOOL_NAME "altera_mem_if_ddr3_emif"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_0002" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_TOOL_NAME "altera_reset_controller"
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "altera_reset_controller" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_mm_interconnect_2" -library "ddr3" -name IP_TOOL_NAME "altera_mm_interconnect"
set_global_assignment -entity "ddr3_mm_interconnect_2" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_mm_interconnect_2" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_TOOL_NAME "altera_avalon_st_adapter"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_TOOL_NAME "error_adapter"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_001_error_adapter_0" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_TOOL_NAME "altera_avalon_st_adapter"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_TOOL_NAME "error_adapter"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_mm_interconnect_2_avalon_st_adapter_error_adapter_0" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_TOOL_NAME "altera_merlin_width_adapter"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "altera_merlin_width_adapter" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_TOOL_NAME "altera_merlin_multiplexer"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_mux" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_demux" -library "ddr3" -name IP_TOOL_NAME "altera_merlin_demultiplexer"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_demux" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_mm_interconnect_2_rsp_demux" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_TOOL_NAME "altera_merlin_multiplexer"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_mux" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_demux" -library "ddr3" -name IP_TOOL_NAME "altera_merlin_demultiplexer"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_demux" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_mm_interconnect_2_cmd_demux" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_TOOL_NAME "altera_merlin_burst_adapter"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_TOOL_NAME "altera_merlin_traffic_limiter"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_TOOL_NAME "altera_merlin_router"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_002" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_TOOL_NAME "altera_merlin_router"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_mm_interconnect_2_router_001" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_TOOL_NAME "altera_merlin_router"
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_mm_interconnect_2_router" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_TOOL_NAME "altera_avalon_sc_fifo"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_TOOL_NAME "altera_merlin_slave_agent"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_TOOL_NAME "altera_merlin_master_agent"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "altera_merlin_master_agent" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_TOOL_NAME "altera_merlin_slave_translator"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_TOOL_NAME "altera_merlin_master_translator"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "altera_merlin_master_translator" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_mm_interconnect_1" -library "ddr3" -name IP_TOOL_NAME "altera_mm_interconnect"
set_global_assignment -entity "ddr3_mm_interconnect_1" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_mm_interconnect_1" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_TOOL_NAME "altera_mem_if_dll"
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "altera_mem_if_dll_cyclonev" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_TOOL_NAME "altera_mem_if_oct"
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "altera_mem_if_oct_cyclonev" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_TOOL_NAME "altera_mem_if_ddr3_hard_memory_controller"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "altera_mem_if_hard_memory_controller_top_cyclonev" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_dmaster" -library "ddr3" -name IP_TOOL_NAME "altera_jtag_avalon_master"
set_global_assignment -entity "ddr3_dmaster" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_dmaster" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_TOOL_NAME "channel_adapter"
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_dmaster_p2b_adapter" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_TOOL_NAME "channel_adapter"
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_dmaster_b2p_adapter" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "altera_avalon_packets_to_master" -library "ddr3" -name IP_TOOL_NAME "altera_avalon_packets_to_master"
set_global_assignment -entity "altera_avalon_packets_to_master" -library "ddr3" -name IP_TOOL_VERSION "100.99.98.97"
set_global_assignment -entity "altera_avalon_packets_to_master" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "altera_avalon_st_packets_to_bytes" -library "ddr3" -name IP_TOOL_NAME "altera_avalon_st_packets_to_bytes"
set_global_assignment -entity "altera_avalon_st_packets_to_bytes" -library "ddr3" -name IP_TOOL_VERSION "100.99.98.97"
set_global_assignment -entity "altera_avalon_st_packets_to_bytes" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "altera_avalon_st_bytes_to_packets" -library "ddr3" -name IP_TOOL_NAME "altera_avalon_st_bytes_to_packets"
set_global_assignment -entity "altera_avalon_st_bytes_to_packets" -library "ddr3" -name IP_TOOL_VERSION "100.99.98.97"
set_global_assignment -entity "altera_avalon_st_bytes_to_packets" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_TOOL_NAME "timing_adapter"
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_dmaster_timing_adt" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "altera_avalon_st_jtag_interface" -library "ddr3" -name IP_TOOL_NAME "altera_jtag_dc_streaming"
set_global_assignment -entity "altera_avalon_st_jtag_interface" -library "ddr3" -name IP_TOOL_VERSION "100.99.98.97"
set_global_assignment -entity "altera_avalon_st_jtag_interface" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_TOOL_NAME "altera_mem_if_ddr3_qseq"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_s0" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_TOOL_NAME "altera_mem_if_ddr3_hard_phy_core"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_p0" -library "ddr3" -name IP_TOOL_ENV "mwpim"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_TOOL_NAME "altera_mem_if_ddr3_pll"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_TOOL_VERSION "15.1"
set_global_assignment -entity "ddr3_pll0" -library "ddr3" -name IP_TOOL_ENV "mwpim"