rgboard/design/top_pkg.vhd

7 lines
163 B
VHDL

library ieee;
use ieee.std_logic_1164.all;
package top_pkg is
type color_vector is array(natural range <>) of std_logic_vector(7 downto 0);
end package top_pkg;