fpga: Fix clock constraint for system clock

This commit is contained in:
Markus Koch 2024-07-23 18:52:14 +02:00
parent 23c7d0ab20
commit 0209a23980

View File

@ -43,5 +43,5 @@ set_io eth_led_orange 41
set_io led_user 34
set_frequency clk 24
set_frequency clk 25
set_frequency clk_phy 50