mor1kx-bemicrocv/wave/flashrom_controller.do

86 lines
6.9 KiB
Plaintext

onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate /bench_flashrom_controller/DataFlash_inst/RDYBSY
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/clk
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/rst
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/clr
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/ready
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/page
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/sync_stb
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/load_stb
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/status_update_stb
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/status
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/info
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/data_in_next
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/data_in
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/data_out
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/data_out_valid
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/spi_si
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/spi_so
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/spi_sck
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/spi_reset_n
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/spi_cs_n
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/spi_wp_n
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/state
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/spif_data_in_valid
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/spif_data_in
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/spif_data_in_length
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/spif_data_next
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/spif_data_out
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/spif_data_out_valid
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/spif_data_out_length
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/words_sent
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/spif_data_out_dummy_bits
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/spif_transmission_active
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/spif_max_word_length
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/max_dummy_bits
add wave -noupdate /bench_flashrom_controller/flashrom_controller_inst/bootup_delay
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/clk_divider
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/max_word_length
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/max_dummy_bits
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/clk
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/rst
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/clr
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/spi_si
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/spi_so
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/spi_sck
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/spi_cs_n
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/data_in_valid
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/data_in
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/data_in_length
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/data_next
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/data_out
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/data_out_valid
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/data_out_length
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/data_out_dummy_bits
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/transmission_active
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/state
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/ckDiv
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/shiftreg
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/bitCounter
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/bitCounterIn
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/data_in_length_i
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/data_out_length_i
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/delayCycle
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/oneBitRead
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/pseudoEdge
add wave -noupdate -group spiphy /bench_flashrom_controller/flashrom_controller_inst/flashrom_spi_inst/dummy_passed
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {40873000 ps} 1} {{Cursor 2} {16507398 ps} 0} {{Cursor 3} {1785398 ps} 0}
quietly wave cursor active 2
configure wave -namecolwidth 150
configure wave -valuecolwidth 100
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {0 ps} {105 us}