phy: rx: Fix bit order

This commit is contained in:
Markus Koch 2021-08-28 14:24:37 +02:00
parent 9e5b958f46
commit 0133fa8cce
1 changed files with 1 additions and 1 deletions

View File

@ -220,7 +220,7 @@ begin
if rx_active then
if (bit_stb) then
data_rx <= data_rx(data_rx'high - 1 downto 0) & bit_value;
data_rx <= bit_value & data_rx(data_rx'high downto data_rx'low + 1);
if (bit_cnt = 7) then
data_rx_valid <= '1';
bit_cnt <= 0;