trashernet/bench
Markus Koch 37714d5c2d bench: Use VUnit
Well, not really. This just makes it compile and work with VUNIT,
but it's not a real VUnit test bench. Will do that at some other
point.
2022-01-16 17:46:01 +01:00
..
bench_crc.vhd Fix code formatting 2021-09-04 19:33:44 +02:00
bench_pkg.vhd Rename types package to general trashernet package 2021-09-25 11:07:12 +02:00
bench_trashernet_mac.vhd bench: Use VUnit 2022-01-16 17:46:01 +01:00
bench_trashernet_phy.vhd phy: Refactor to use records for the application interface 2021-09-25 12:28:04 +02:00